AVS 67 Session TF-Contributed On Demand: Thin Film Contributed On Demand Session

On Demand available October 25-November 30, 2021

Session Abstract Book
(635KB, Oct 26, 2021)
Time Period OnDemand Sessions | Topic TF Sessions | Time Periods | Topics | AVS 67 Schedule

TF-Contributed On Demand-1 Improved Impedance Spectroscopy Model of Interfaces for CZTSe Ge Bi-Layers Solar Cells
Sanghyun Lee (Indiana State University); Kent Price (Morehead State University); Edgardo Saucedo (Catalonia Institute for Energy Research)

Impedance spectroscopy is an advanced technique that could provide an opportunity to model the physical parameters of a system of interest with an equivalent circuit (EC). From our preliminary work, we developed thin-film CZTSe solar cells with thin Ge bi-layers, which articulate the recombination mechanism of emerging CZTSe devices. We reported that the recombination of this weak CdS/CZTSe heterojunction interface is mitigated with the engagement of thin Ge nanolayer (<10 nm) at both interfaces by developing proper models. In particular, the response of quantum efficiency at the heterojunction interface with weak bias voltage and lights provided an accurate model to understand the complex nature of their interface.

In this contribution, we fabricated and characterized a set of CZTSe Ge nanolayer thin-film solar cells with DC magnetron sputtering by applying a refined advanced technique of impedance spectroscopy for AC response of the EC. The interfaces of CZTSe Ge bi-layers devices are modeled with the lumped bulk resistance in the system. The developed model is established with a constant phase element (CPE) for three critical interfaces, such as heterojunction, MoSe2 interlayer, and metal back-contact. We used an in-house modeling tool in MATLAB environment, connected to external tools such as Sentaurus TCAD and LEVM/LEVMW. By incorporating nanoscale Ge bi-layers below and above the absorber, the improved back-contact barrier shows a good agreement of impedance spectroscopy with a proposed equivalent circuit model (chi-squared function = 4.19 x 10-4). In particular, the introduction of a CPE minimized the deviation with the proposed EC model. The impedance of CPE is determined by the product of a dimensionless parameter, which value between zero and unity. As P of a CPE approaches to 1, the quality of interface and uniformity improves. A CPE indicates impedance with non-ideal frequency-dependent properties and a constant phase over the entire frequency. Collectively, the deviation from the ideal dependency is mainly due to a distribution in the current density coming from the material inhomogeneity. With the bulk resistance, 21.0 Ω•cm2, P of interfaces between CdS/CZTSe, CZTSe/MoSe2, MoSe2/Mo are 0.957, 0.997, and 0.994, respectively. In particular, P of CZTSe/MoSe2, MoSe2/Mo interfaces approach to 1, which indicates the improved back-contact interface by adding 2.5 nm Ge near back contact interfaces. Resistances of two back-contact interfaces (12.4, 10.9 Ω•cm2, respectively) are less than 0.3% of the CdS/CZTSe heterojunction interfaces. However, the heterojunction P showed the lowest value (0.957).

View Supplemental Document (pdf)
TF-Contributed On Demand-4 Atomic Layer Deposition for Enhanced Reactivity, Stability, and Sulfur Tolerance of Biomass Conversion Catalysts
Wilson McNeary (National Renewable Energy Laboratory); Kinga Unocick (Oak Ridge National Laboratory); Gabriella Lahti, Sean Tacey, Carrie Farberow, Michael Griffin (National Renewable Energy Laboratory); Evan Wegener (Argonne National Laboratory); Kurt Van Allsburg (National Renewable Energy Laboratory); Arrelaine Dameron, Karen Buechler (Forge Nano); Derek Vardon (National Renewable Energy Laboratory)
Heterogeneous catalysts are an essential tool in the transition towards a sustainable, bio-based economy for fuels and chemicals. However, the harsh conditions (e.g., high temperatures, acidity, oxidizing/reducing environments) of many key biomass conversion processes give rise to nanoparticle sintering, support collapse, and metal leaching in conventional PGM catalysts. Active site poisoning due to the relatively high sulfur content of most biomass feedstocks further compounds these durability problems. Next-generation catalysts must be developed to address these stability challenges. In this work, we have used atomic layer deposition (ALD) to modify a conventional Pd/Al2O3 hydrogenation catalyst and generate improvements in its stability and sulfur tolerance, as well as overall catalyst activity. Ten cycles of TiO2 ALD were applied to Pd/Al2O3 using a proprietary coating process developed by industry partners. The coated catalyst (10cTiO2), alongside uncoated Pd/TiO2 and Pd/Al2O3 controls, was characterized in-depth and naphthalene hydrogenation was used as a probe reaction to assess activity. The 10cTiO2 catalyst was observed to be significantly more active towards hydrogenation than uncoated Pd/Al2O3, despite evidence that the ALD coating suppressed chemisorption uptake through coverage of Pd. In order to reconcile these seemingly contradictory findings, interactions between the Pd nanoparticles and TiO2 ALD coating were investigated via XAS and computational modeling. The catalysts were also assessed for their sulfur tolerance, thermal stability, and hydrothermal stability. Each of these catalyst stability parameters was enhanced by application of the TiO2 ALD layer; the mechanisms by which the layer may have mitigated these degradation processes will be discussed. ALD technology holds great potential in the development of next-generation catalysts for biofuels and bioproducts and this work constitutes an important examination of the expected and unexpected benefits of applying TiO2 ALD coatings to supported Pd hydrogenation catalysts.
TF-Contributed On Demand-7 Molecular Layer Deposition of All-Organic Polymer Films on Particles for Pharmaceutical Applications
Tyler J Myers, Steven M George (University of Colorado Boulder)

Molecular layer deposition (MLD) utilizes sequential, self-limiting surface reactions to deposit polymeric thin films. Depending on the precursors, MLD polymeric films can be all-organic or a mixed organic-inorganic hybrid film. In this study, all-organic polyamide MLD films were deposited on particle substrates using adipoyl chloride (AC) and ethylene diamine (ED) as the reactants. This polyamide film is designated as Nylon 6,2. Very little work has been performed to use MLD to deposit all-organic polymer films on particles. This lack of studies may be attributed to the high surface area of particles and the low vapor pressure of the organic reactants. However, MLD of all-organic polymer films may be important for tuning the drug delivery of pharmaceuticals. All-organic polymer films are much more robust in aqueous solutions than organic-inorganic hybrid polymer films.

The polyamide MLD was performed in a rotating cylinder reactor to agitate the particles. The rotating cylinder reactor was located inside an isothermal enclosure to eliminate cold spots that cause difficulties when using low vapor pressure precursors. The polyamide MLD was performed at low temperatures ranging from 37°C to 80°C. These low temperatures allow the polyamide MLD film to be deposited on thermally sensitive organic particles, such as pharmaceutical particles. Using witness wafers in the reactor, the sequential AC and ED exposures led to a growth rate of 4 Å/cycle at 67°C as determined by x-ray reflectivity (XRR) measurements. This growth rate was in good agreement with the film thicknesses versus number of MLD cycles measured by transmission electron microscopy (TEM) on inorganic particles (ZrO2) and organic particles (cellulose and active pharmaceutical ingredients).

The TEM images revealed that the polyamide MLD films were smooth and conformal on the particles.The MLD films could also be deposited with a linear growth rate on the various particle substrates. Fourier Transform Infrared (FTIR) vibrational analysis of the polyamide MLD films revealed the expected N-H, C-H, C-N, and C=O stretching vibrations and CO-N-H bending modes. X-ray photoelectron spectroscopy (XPS) analysis on witness wafers also yielded peaks corresponding to C, N, O, and a small amount of Cl. Energy Dispersive Spectroscopy (EDS) mapping of the polyamide MLD film grown on cellulose particles observed N and Cl in the polyamide coating. Dissolution testing of active pharmaceutical ingredients (APIs) showed an obvious extended release profile of the drug particles coated with an MLD film.These observations suggest that MLD of all-organic films on drug particles should be promising for tuning drug delivery.

View Supplemental Document (pdf)
TF-Contributed On Demand-10 40 Years of Kraut Valence Band Offset Measurements: The Good, The Bad, and The Ugly
Sean King (Intel Corporation); Michelle Paquette (University of Missouri - Kansas City)

In 1980, E.A. Kraut in collaboration with R.W. Grant, J.R. Waldrop, and S.P. Kowalczyk published in Physical Review Letters (vol. 44, p. 1620) a core level referencing technique for the measurement of valence band offsets (VBOs) by X-ray photoelectron spectroscopy (XPS) that has been cited in thousands of semiconductor heterojunction band alignment studies. The popularity of the technique likely stems from the fact that it consists of three seemingly easy measurements: the relative position of the valence band maximum (VBM) to a deep core level in bulk material 1, the relative position of the VBM to a deep core level in bulk material 2, and the relative position of these same core levels from each material at their interface. Despite the apparent simplicity, such measurements are fraught with numerous complications that can in some cases lead to inaccuracies significantly more than the reported error bars (if even provided!) and widely different reported VBOs for nominally identical interfaces. Some of these effects are well known such as surface charging, core level peak fitting, and valence band maximum fitting. However, other effects and considerations such as differential charging, binding energy scale calibration, and the impact of surface cleaning (or not) are less well known. The cumulative result of the lack of consideration of these effects can result in sometimes questionable or highly speculative VBO measurements. In this article, we utilize a recent XPS study of a hafnium dioxide (HfO2) interface with amorphous hydrogenated boron nitride (a-BN:H) to highlight some of the common and not so common issues that can appear when utilizing the Kraut method to determine the HfO2/a-BN:H valence band offset. We present a checklist of important considerations and best known methods for performing Kraut XPS VBO measurements free of ambiguity and errors beyond the often quoted ± 0.1 eV accuracy. Our goal is to establish a dialogue and guidelines within the American Vacuum Society and greater scientific community for reviewing future articles utilizing the Kraut method to drive a more consistent approach and help minimize erroneous or questionable results from reaching JVST or related journals.

TF-Contributed On Demand-13 Covalently Crosslinked Organic Network Thin Films for Robust Surface Modification
Junjie Zhao (Zhejiang University)

Robust surface modification provides long-term protection and maintains surface properties, which is desired in a wide variety of engineering applications. Covalently crosslinked organic networks typically exhibit better stability than linear polymers, but are difficult in processing into thin films by solution methods due to the insolubility in organic solvents. In comparison, initiated chemical vapor deposition (iCVD) avoids the use of solvents and directly forms crosslinked organic networks on substrate surfaces via free radical polymerization of adsorbed monomers. The control of the crosslinking degree together with simultaneous tuning of the surface energy represents a critical challenge for iCVD crosslinked polymers.

We developed an in-situ thermal annealing method for further enhancing the crosslinking degree of iCVD polymers after deposition. This process reduces the number of pendant vinyl groups present in the as-deposited polydivinylbenzene (PDVB) films, thus inhibiting the oxidation of iCVD PDVB in ambient conditions. Consequently, the low surface energy and hydrophobicity can be maintained for at least 2 months. Taking advantage of the excellent stability, we synthesized a few covalently crosslinked organic networks with low surface energy via iCVD for controlling the heterogeneous nucleation of CaCO3 on the wall of heat exchangers in thermal desalination. We found that chemical stability is key to long-lasting modification and protection of Cu/Ni alloy. iCVD cyclosiloxane polymer films reduce the work of adhesion between CaCO3 and Cu/Ni substrate by up to 5 times, while lowering the corrosion rate of Cu/Ni in boiling water by up to 190 times. By inhibiting the corrosion induced nucleation and increasing the energy barrier of CaCO3 heterogeneous nucleation, iCVD poly(1,3,5-trivinyl-1,3,5-trimethylcyclotrisiloxane) (PV3D3) enables 14 times lower CaCO3 fouling on Cu/Ni substrates at 110℃ in synthesized seawater.
TF-Contributed On Demand-16 Highly Conductive Nanograting-Nanohole Structures with Tunable and Dual-Band Spectral Transparency
Yanfeng Wang (Tsinghua University); Harrison Byron Chong, Inyoung Choi (University of Georgia); Zhengjun Zhang (Tsinghua University); Yiping Zhao (University of Georgia)
Simultaneous increasing the electric conductance and optical transmission represents a key challenge for the development of the high-quality transparent metallic film. Using nanosphere lithography and oblique angle deposition, we show that large-area silver nanograting on nanohole structures (NGonNH) can meet this challenge with simultaneously improved electric property and optical transparency. The fabricated nanograting-nanohole hybrid structure exhibits an excellent sheet resistance as low as 4.53 Ω/□, an optical transmission of 75.4% from visible to near IR, and a high Haacke number up to 13.1, which is superior to previously reported metal nanohole networks or TMFs. The geometries of the formed NGonNH can be precisely varied by controlling the size of the polystyrene nanospheres (PSNS) monolayer, the etching duration of the PSNS, the orientation of the PSNS monolayer domain, and the vapor incident angle during deposition. Both the electric conductance and optical transparency are anisotropic and can be tuned by the nanohole diameter, lattice spacing, and the polarization of incident light. In particular, the transparency wavelength bands for different polarized lights are significantly different due to the plasmonic coupling effect as well as the anisotropic morphology of the nanograting-nanohole structure, which is very unique and has the potential application for polarization-modulation based optical components or sensors. The reduced and anisotropic electronic behavior is predicted by a two-dimensional hexagonal resistor network model, and the observed optical responses match well with the results from finite-difference time-domain calculations. View Supplemental Document (pdf)
TF-Contributed On Demand-19 Rapid TiN Nucleation using Electron-Enhanced Atomic Layer Deposition (EE-ALD) with a Reactive Background Gas
Zachary Sobell, Andrew Cavanagh, Steven George (University of Colorado at Boulder)

Ultrathin barriers in backend interconnects are needed to provide as much room as possible for conducting lines in vias.Rapid nucleation is required to achieve continuous ultrathin barrier films at a minimum thickness.To obtain rapid nucleation, we have employed electron-enhanced atomic layer deposition (EE-ALD) for the growth of TiN barrier films.We have also developed a new method of EE-ALD based on utilizing a reactive background gas concurrently with the EE-ALD process.The reactive background gas is possible when using a hollow cathode plasma electron source (HC-PES) because the HC-PES can operate with reactor pressures in the mTorr range.

For this work on TiN EE-ALD with a reactive background gas, tetrakis(dimethylamido) titanium (TDMAT) was used as the Ti precursor. The EE-ALD was performed using sequential TDMAT and electron exposures.During these sequential exposures, NH3 was present continuously in the reactor as a background gas at ~1 mTorr.NH3 is believed to be decomposed by the electron beam and liberate H and N radicals. The N radicals may facilitate the Ti nitridation. The H radicals may allow the removal of C as CH4.Background gases were not possible during earlier EE-ALD work using an electron gun as the electron source because the hot filament of the electron gun would react with the background gas.

TiN film growth was observed to proceed rapidly during TiN EE-ALD using the NH3 background gas.The TiN EE-ALD was performed at ~65 °C resulting from mild substrate heating by the incident electron beam. Linear TiN growth was observed beginning on the first EE-ALD cycle on the native oxide of a Si wafer.Longer nucleation times of ~7 EE-ALD cycles were monitored on in situ deposited Si3N4 films.On both substrates, the TiN EE-ALD growth rate was ~0.5 Å/cycle and the TiN film purity was high.In situ Auger electron spectroscopy (AES) routinely measured »91 at% Ti and N.The remaining AES signals were attributed to C and O.Ex situ XPS analysis also revealed a Ti:N ratio of ~3:4. This work demonstrates that reactive background gas can reduce possible contaminants during EE-ALD.The reactive background gas also opens up the possibility of utilizing many new precursor/background gas combinations for EE-ALD.

TF-Contributed On Demand-22 Structural and Chemical Evaluation of ScxAl1-xN-GaN Heterostructures Grown by Molecular Beam Epitaxy
Joseph Casamento, Hyunjea Lee, Celesta S. Chang (Cornell University); Matthew F. Besser (Ames Laboratory); Takuya Maeda, David A. Muller, Huili (Grace) Xing, Debdeep Jena (Cornell University)

Scandium aluminum nitride (ScxAl1-xN) has gained tremendous interest in recent years due to enhanced piezoelectric and ferroelectric behavior from isoelectronic alloying with Sc. [1,2] Current challenges for next generation ScxAl1-xN-based optoelectronics include scaling down the active piezoelectric layers while still maintaining high structural quality and piezoelectric performance. MBE aims to solve this challenge and create ultrathin layers with sharp interfaces and high crystalline quality. GaN, a polar semiconductor with excellent optoelectronic properties is lattice matched to ScxAl1-xN at ~18% Sc and enables ultra-thin ScxAl1-xN to be highly piezoelectric.[3] Accordingly, GaN provides an excellent platform to study the fundamental properties of ScxAl1-xN by epitaxial stabilization and integration into commercial technology.

In this work, we report the first structural and chemical analysis of epitaxial ScxAl1-xN (x=0.1 to 0.45)-GaN multilayer heterostructures grown on metal polar GaN (0001) substrates using two different Sc metal sources. One Sc source was quoted as 99.99 % (4N) pure on a rare metals basis and another higher purity Sc source from Ames Laboratory was quoted as 99.9% (3N) total purity, including carbon and oxygen. Sc was supplied via electron beam evaporation and Al, Ga, and Si were supplied using Knudsen effusion cells. In situ reflection high energy electron diffraction (RHEED) was used to assess crystalline quality. Post-growth structural characterization was performed via X-ray diffraction (XRD) and high annular dark field scanning transmission electron microscopy (HAADF-STEM).

In situ RHEED images suggested the ScxAl1-xN layers remained epitaxial throughout the growth and the subsequent GaN layers retained their hexagonal crystal structure. Atomic force microscopy (AFM) images showed surfaces with an RMS roughness of less than 2 nm, with hillocks related to potential extended defects. However, the defects generated in the ScxAl1-xN layers were not sufficient to disrupt the nucleation of wurtzite GaN. HAADF-STEM measurements corroborated these findings and confirmed that a growth interrupt to desorb excess Ga prevented atomic-level chemical mixing between GaN and ScxAl1-xN, leading to sharp interfaces. Growth using the higher purity Sc source showed higher structural quality, evidenced by comparative XRD. This study highlights the importance of growth conditions and source material selection for the optimization of epitaxial ScxAl1-xN-GaN heterostructures.

[1] Akiyama, M et al. Adv. Mater. 21, 593 (2009).

[2] Fichtner, S et al. J. Appl. Phys. 125, 114103 (2019).

[3] Casamento, J et al. Appl. Phys. Lett. 117, 112101 (2020).

View Supplemental Document (pdf)
TF-Contributed On Demand-25 Deposition of Large-Grained Polycrystalline Aluminum Nitride at Low Temperature via Bias-Enhanced Atomic Layer Annealing
Aaron McLeod, Scott Ueda, Andrew Kummel (UC San Diego)

Electrically insulating heat spreading materials are needed in integrated circuits to realize for three-dimensional integration and for all high power RF devices. Potential materials of interest include aluminum nitride, diamond, and hexagonal boron nitride, though only aluminum nitride is synthetically practical at low temperature and isotropically conducting. A method has been developed to deposit crystalline aluminum nitride at temperatures below 450 °C on non-lattice matched substrates (silicon) using bias enhanced atomic layer annealing. This technique is unique in its addition of a plasma treatment step to the end of each traditional atomic layer deposition cycle, in which ion bombardment increases surface adatom energy and promotes crystallization. Tris(dimethylamido) aluminum and anhydrous hydrazine are used as precursors. The crystalline orientation of the deposited aluminum nitride can be controlled by altering the kinetic energy of the incident ions. This is accomplished by altering the substrate bias and gas identity: biases of -10V, -25V, and -40V were investigated for Ne, Ar, and Kr plasmas. Ion flux across all conditions was kept constant at ~0.3mA/cm2 by adjusting the plasma source power. The composition and crystallinity of the films are analyzed using in-situ x-ray photoelectron spectroscopy (XPS) and ex-situ grazing incidence x-ray diffraction (GI-XRD). Depositions of 40 nm thick films were performed on Si(111) and SiC substrates. On Si(111), optimal crystallization is achieved using Ar at -25V bias, producing a film with selective (200) orientation and an average crystallite size of 27 nm. Neon and Kr produced films with preferential (002) orientation, though average crystallite sizes were less than 11 nm for all conditions. These results highlight that the crystallization effects afforded by this technique are a tradeoff between ion induced mobility and ion induced damage (embedding and dislocations) and is not a thermal effect.

TF-Contributed On Demand-28 Exploiting Fixed Charge to Control Schottky Barrier Height in Si|Al2O3|MoOx- Based Tunnel Diodes
Ben Garland, Benjamin Davis, Nicholas Strandwitz (Lehigh University)

Carrier selective contacts have become one of the leading advancements in photovoltaics with the most efficient structures exceeding 26% conversion efficiency. Selective carrier conduction implies that one type of charge carrier is preferentially collected at an electrical contact. Carrier selectivity increases the efficiency of solar cells by reducing recombination at metal contacts and avoiding highly doped emitter layers. Popular selective contact materials are often transition metal oxides (TMOs) due to high optical transparencies and large work functions that are useful for hole selective contacts to silicon. In contrast to previous articles, recent studies have indicated that the interface of p-type silicon and the molybdenum oxide (MoOx) exhibits a significant Schottky barrier that decreases the efficiency of hole-selective contacts by impeding majority carrier hole collection. To alleviate this issue, atomic layer deposited (ALD) alumina (Al2O3) might be added between Si wafer and MoOx with the expectation to generate a negative interface fixed charge (Nf) after annealing, decreasing band bending. ALD Al2O3 also has the ability to passivate interface traps with diffusion of precursor hydrogen during annealing. In this work, we hypothesize that insertion of a tunneling ALD Al2O3 layer between MoOx and p-type Si will enable Schottky barrier height (ϕbh) minimization that is tunable with Nf, enabling a high efficiency hole-selective contact.

Since there should not be quantifiable Nf in tunneling Al2O3 using capacitance-voltage (C-V) analysis, non-tunneling n-Si|Al2O3|Al MOSCAP structures were used to determine ideal processing for maximum negative Nf. The ALD growth of Al2O3 utilized trimethylaluminum and H2O at 4 deposition temperatures. Half of the samples were annealed at 425°C in a nitrogen atmosphere prior to the application of Al contacts. After Nf quantification, p-Si|Al2O3|MoOx|Al tunnel diodes with thin (~1 nm) as-deposited and annealed Al2O3 layers were fabricated to determine ϕbh. Current density-voltage-temperature (J-V-T) and Mott-Schottky 1/C2-V measurements were used to establish a range for ϕbh. Both methods showed that the as-deposited tunneling Al2O3 diodes had a higher ϕbh compared to the diodes with annealed tunneling Al2O3, and therefore also supported the existence of a ϕbh at the Si|MoOx interface. Future work will involve using larger barrier height diodes using n-Si to more accurately calculate ϕbh and confirm or reject the phenomenon observed.

View Supplemental Document (pdf)
TF-Contributed On Demand-31 Development of Reusable Hydrogel for Fabric-based Bioelectrodes via initiated Chemical Vapor Deposition (iCVD)
S. Zohreh Homayounfar, Soha Rostaminia, Ali Kiaghadi, Deepak Ganesan, Trisha Andrew (University of Massachusetts Amherst)
There is a significant interest in measuring biopotential signals (such as ECG and EOG) unobtrusively via novel textile-based electronics. Herein, electrodes are the interface who receives the charges in the ionic form from the body and injects them as electrons through wires. A fundamental challenge to be addressed to reliably measure biopotential parameters with fabric-based electrodes is whether we can design an electrode that has the signal quality of traditional wet electrodes and the comfort of dry electrodes. The crucial role of a hydrogel in an electrode, specifically in reducing the motion artifact, is undeniable. However, fabricating a hydrogel that can be stably grafted to the underneath plate and, maintains a constant baseline ion concentration, and most importantly, can be fully recovered after being dried out is still a great challenge among scientists. In this study, we developed a mechanically stable hydrogel as the electrolyte on our bioelectrode by taking advantage of initiated Chemical Vapor Deposition (iCVD). Our first-of-its-kind hydrogel electrodes successfully address all known drawbacks of conventional “wet” electrodes, in addition to affording high signal to noise ratio during long-term data acquisition, displaying wash-stability, breathability, no skin irritation, and being capable of further miniaturization for embedding into any wearable platforms.
TF-Contributed On Demand-34 On Achieving Single-Phase Crystalline Gallium Oxide Thin Films at Low Thermal Budgets
Elham Rafie Borujeny, Kenneth Cadien (University of Alberta)

Gallium oxide (Ga2O3) is an emerging wide bandgap material (bandgap > 4.0 eV) with a large breakdown field which make it a suitable candidate for integration in electronic and optoelectronic devices. Crystalline forms of gallium oxide, specially in thin film form, are required for realization of such devices that can complement the superior properties of other wide bandgap semiconductors in use today (such as gallium nitride (GaN)). However, Ga2O3 thin films can currently be obtained on very limited substrates (namely, Ga2O3 and sapphire) in specific process conditions or at high temperatures.

In this work, we demonstrate novel deposition strategies to deposit high-quality single-phase Ga2O3 films at low temperatures on non-native substrates as a key enabling technology for implementation of Ga2O3 in next-generation electronic devices. Taking advantage of the unique crystallographic features of Ga2O3, we propose a universal and robust approach to control the crystallinity of Ga2O3 thin films in situ and achieve single-phase α-Ga2O3 films at low thermal budgets. We also show that, based on energetics considerations, it is possible to alter the population of metastable gallium oxide polymorphs in the films and design deposition processes that can achieve substantially single-phase β-Ga2O3 on non-native substrates. All the processes discussed in this work are performed at low temperatures (i.e., temperatures that are hundreds of degrees lower than the temperatures commonly in use today for growth of crystalline gallium oxide in either bulk or thin film forms); therefore, they provide new opportunities in simultaneously achieving energy efficiency and high performance in Ga2O3 electronics.

TF-Contributed On Demand-37 Gallium Oxynitride Thin Films with Tunable Properties for Electronic and Photonic Applications
Elham Rafie Borujeny, Kenneth Cadien (University of Alberta)

GaN is a semiconductor with superior properties including stability at high temperature, high thermal conductivity, high electron mobility, and high breakdown voltage compared to Si and GaAs. These outstanding properties, which make GaN the frontrunner material in power electronics applications (such as energy-efficient inverter circuits) as well as in photonics applications (such as LEDs), strongly depend on GaN crystal structure. In this work, we propose strategies for incorporation of oxygen in the crystal structure of GaN at low temperature and show that these strategies can be used to tune the structure as well as the properties of the resulting films. We obtain gallium oxynitride films with tunable lattice constant, bandgap, and resistivity that can be used in both electronic and optoelectronic devices either as the active layer of the device or as a passive component used to adjust the properties and the performance of such devices.

The results of this work enable growth of gallium oxynitride thin films, with superior material properties offered by ALD, not only with potential applications as high-performance materials in reducing energy consumption but also with an energy-efficient fabrication process.

TF-Contributed On Demand-40 Atomic Layer Deposited Metal Oxide Bilayers for Metal-Insulator-Semiconductor Photovoltaics
Benjamin Davis, Nicholas Strandwitz (Lehigh University)
The use of metal-insulator-semiconductor (MIS) tunnel diodes as photovoltaics (PVs) relies on the Schottky barrier between the metal and semiconductor, which induces band bending in the semiconductor absorber allowing for efficient separation of electrons and holes. In the years following early MIS PV research in the 1970s and 1980s, the advent of atomic layer deposition (ALD) has provided a tool for enhanced control of ultrathin film deposition. One method to potentially improve MIS PV performance is the use of ALD oxide bilayers as tunnel insulators. Oxides with different oxygen areal densities have been demonstrated to form interfacial dipoles, manipulating the Schottky barrier. Previous studies have only explored the impact of dipoles between a single ALD oxide and interfacial SiOx. The present work combines two ALD oxides, one (AlOx) with oxygen areal density higher than that of SiOx and another (LaOx) with oxygen areal density lower than that of SiOx according to literature. It has been hypothesized that the greater oxygen areal density difference would result in a greater impact on the Schottky barrier. It is demonstrated that, compared to AlOx alone, an ALD LaOx/AlOx stack increases the average n-Si/Ni Schottky barrier height from 0.63 to 0.70 eV, and the average p-Si/Al barrier height from 0.81 to 0.90 eV. In all cases, LaOx was the oxide in direct contact with the Si substrate. The observation that the barrier height increases for both substrate types suggests that the effect is due to the ability of LaOx to depin the Fermi level rather than an interfacial dipole. View Supplemental Document (pdf)
TF-Contributed On Demand-43 Fabrication of Nanoscale Multilayered Thin-Film Thermoelectric Materials and Devices
Lauren Williams, Alandria Henderson, Rodricka Miller, Brandon Whitaker, Rebecca Glenn, Zhigang Xiao (Alabama A&M University)

We report the growth of nanoscale multilayered thermoelectric thin films and fabrication of integrated thermoelectric devices for high-efficiency energy conversion and solid-state cooling. Nano multilayered Bi2Te3/Sb2Te3, Sb/Sb2Te3 and Te/Bi2Te3 thermoelectric thin film materials were grown using the e-beam evaporation. The thin films were prepared with 100 to 150 layers, where each layer is about 3 to 5 nm thick. Integrated thermoelectric devices were fabricated with the nanoscale multilayered thin films using the clean room-based microfabrication techniques such as UV lithography. Plasma-enhanced atomic layer deposition (PE-ALD) was used to grow zirconium dioxide (ZrO2) as the insulation layer in the device fabrication. X-ray diffraction and high-resolution tunneling electron micrograph (HR-TEM) were used to analyze the nanoscale multilayered thin films. SEM was used to image and analyze the fabricated devices. The thermoelectric characteristics of the fabricated devices were measured and analyzed, and the effect of the nanoscale multilayer structures on the thermoelectric efficiency was investigated.

TF-Contributed On Demand-46 Influence of Gold Layer Thickness on the Metal-Induced Crystallization Behavior of Ge Thin Films
Narin Sunthornpan, Kenjiro Kimura, Kentaro Kyuno (Shibaura Institute of Technology)

Germanium (Ge) is one of the most intensively studied semiconductor materials because of its superior properties such as higher carrier mobility and smaller energy bandgap compared to silicon (Si). These properties are advantageous in applications such as thin-film transistor, solar cell, next generation MOSFET, etc. Nevertheless because of its higher cost, fabricating high quality crystalline Ge thin film on glass and plastic substrates is a key to realize these devices. Metal-induced crystallization (MIC) technique by gold (Au) catalyst is one of the promising choices to crystallize Ge films because of its low crystallization temperature. Nevertheless, further knowledge about the crystallization mechanism is needed to optimize the crystallization process. To this end, the influence of Au layer thickness dependence on structural and electrical properties of Ge films crystallized by MIC technique has been investigated in this study.It is found that a Ge thin film (30nm) starts to crystallize at a lower temperature for thinner Au layer thickness. A higher (111) orientation is also achieved for thinner Au layer thickness and a hole mobility as high as 50 cm2/Vs is achieved for Ge thin films crystallized by a 6nm Au layer at 220 °C, which is low enough to fabricate electronic devices on plastic substrates such as polyimide.

Au films ranging from 6 to 23 nm were prepared by RF magnetron sputtering process on Si wafer with 100 nm thermally grown oxide (SiO2) layer. Subsequently, Ge films (30 nm) were deposited on these Au films. To crystallize Ge film, all films were annealed with temperatures ranging from 100 to 220 °C under N2 ambient. Structural properties were examined by X-ray diffraction (XRD), Raman spectroscopy and electron backscattered diffraction (EBSD). It is found that Ge crystallization starts at a temperature as low as ~140°C, which decreases slightly as the Au layer thickness decreases. Moreover, higher Ge (111) orientation was achieved for thinner Au layer thickness, which was confirmed by XRD and EBSD observation. From the Hall effect measurement, a p-type behavior and a hole mobility as high as 50 cm2/Vs was obtained for Ge thin film crystallized by annealing a Ge(30nm)/Au(6nm) bilayer at 220 °C. Transistor action was also successfully demonstrated by using these films as channel layers. These findings will open up the possibility to apply MIC technique with smaller Au consumption to fabricate thin-film semiconductor on various inexpensive plastic substrates.

TF-Contributed On Demand-49 In Situ Reflection High Energy Electron Diffraction in Atomic Layer Deposition for Monitoring Epitaxial Transformations
Alexandra Howzen, Nicholas Strandwitz (Lehigh University)

The maximum amount of thermal energy available during atomic layer deposition (ALD) is generally determined by the decomposition temperature of the precursors and also sets the maximum temperature in the “ALD window”.This maximum temperature in some cases limits the structural perfection and extent of crystallization in resulting films.Intermittent annealing during the film growth in between ALD chemical exposures has been explored previously and shown to increase density and quality of ALD films.1 However, without direct monitoring of one or more of the physical properties of the films, it can be difficult to determine the nuances of film transformation, such as crystallization temperature, surface roughening, and dependence on gas ambient.

In this work we integrate reflection high energy electron diffraction (RHEED) into a home-built ALD system to monitor structural and morphological transformations during ALD growth and thermally-induced structural transformations.RHEED is a surface sensitive diffraction technique that utilizes high energy (> 10 keV) electrons at a glancing angle, and is most commonly utilized in molecular beam epitaxy and pulsed laser deposition systems. The relatively high pressures associated with ALD are incompatible with RHEED due to filament instability and resulting short electron mean free path within the chamber, necessitating either 1) differential pumping on the electron gun and a short path length between the electron gun and phosphor screen or 2) a pump down to high vacuum conditions. In addition to describing the system design, flow, and thermal modelling; we will show initial results of the deposition and annealing of ultrathin films (1-20 ALD cycles) focusing on transformations of polymorphic Ga2O3. The integration of RHEED with ALD offers a slow-motion picture of traditional epitaxial growth techniques by decoupling the deposition and crystallization steps with simultaneous monitoring of the surface structure.

1 J.F. Conley, Y. Ono, and D.J. Tweet, Appl. Phys. Lett. 84, 1913 (2004).

TF-Contributed On Demand-52 Sputter Deposition of Al3Sc Films for Microelectronics Fabrication
Giovanni Esteves, Joseph Bischoff, Travis Young, Michael Henry, Paul Kotula (Sandia National Laboratory)

Aluminum scandium (Al1-xScx) metal films are explored for their use in semiconductor processing as electrical leads for high temperature applications and etch resistant characteristics. When deposited at elevated temperatures or subsequently annealed at temperatures ≥ 600°C, the resistivity of the Al1-xScx films decreases, with substantial decreases seen in films that had a lower deposition temperature. The crystallographic texture of the Al3Sc phase that is formed during deposition is {111}-oriented. The template used for Al3Sc deposition is shown to be critical in its {111} crystallographic alignment as well as the deposition temperature. The lowest as-deposited resistivity value for the 100-nm Al3Sc metal film deposited at 450°C was ~18 μΩ-cm, with subsequent anneals resulting in an improvement to ~10 μΩ-cm. Characterizing annealed films at different temperatures with transmission electron microscopy (TEM) and X-ray diffraction (XRD) show the amount of the Al3Sc phase increases with higher annealing temperature. Therefore, the formation of Al3Sc is suspected of being the key factor that leads to reduce resistivity. The ability for this metal to withstand anneals at 600°C while resulting in lower resistivity suggest that it can operate at these temperatures and aid in extending the operating temperature of piezoelectric devices beyond 500°C. Additionally, given the introduction of Sc into Al, this metal film behaves similarly to piezoelectric Al1-xScxN when using an inductively coupled plasma etch that uses Cl2 and BCl3 chemistries. The resulting etch rate for Al0.80Sc0.20 films was ~25 nm/min, which is ~12x lower than the etch rate for AlN and on par with Pt metal. Dry etch experiments show that Al0.80Sc0.20 metal is resistant to Cl-based chemistries with Sc metal being exceptionally resistant, thus, Al1-xScx films can show strong etch resistance across the entire Al-Sc system. Given the etch resistant characteristics of Al1-xScx, this metal film can serve as either an etch stop or an etch mask in microelectronics fabrication that exhibits low resistivity values and is CMOS-compatible.

SNL is managed and operated by NTESS under DOE NNSA contract DE-NA0003525

TF-Contributed On Demand-55 Vertical Heterostructures of Two-Dimensional Transition Metal Chalcogenides by Atomic Layer Deposition
Saravana Balaji Basuvalingam, Matthew A. Bloodgood, Marcel A. Verheijen, Wilhelmus M. M. Kessels, Ageeth A. Bol (Eindhoven University of Technology, The Netherlands)

2D transition metal chalcogenides (TMCs) such as dichalcogenides (TMDCs; MX2) and trichalcogenides (TMTCs; MX3) have gained a lot of attention for their unique optoelectronic properties. Lately, fabricating vertical heterostructures of 2D TMDCs has gained significance over the heterostructure of 3D materials due to their clean interfaces. Stacking different 2D materials on top of each other continues to opens up unique functionalities and application prospects1. The 2D TMDC heterostructures exhibit large potential for optoelectronic devices, but the ability to fabricate them over a large area (wafer scale) is a persisting challenge. Fabricating 2D heterostructures conformally over a 3D structure has also become a key challenge as the complexity of device structures keeps increasing. Additionally, 2D heterostructures of TMDC-TMTC have not yet been explored experimentally.

In this work, we demonstrate that challenges associated with 2D heterostructures fabrication in commonly used methods; such as process scalability, uniformity, control over individual material thicknesses, conformality and processing temperatures can be overcome using atomic layer deposition (ALD). Lately, ALD has become of significance for the synthesis of various 2D TMDCs2 and TMTCs3. Here, we also show that ALD is favorable for the fabrication of 2D heterostructures consisting of both TMDCs and TMTCs.

We report on the synthesis of 2D TMDC heterostructures in the form of TiSx-NbSx using ALD at <300 °C. First, we developed ALD processes for both TiSx and NbSx independently using metal-organic precursors and H2S gas as coreactant. A 5-layer TiSx-NbSx heterostructure with varied individual layer thickness was fabricated to demonstrate the ability to precisely control the thickness of the individual TMDC layers by ALD. In addition to TMDC heterostructure formation on a planar substrate, the conformal growth on a 3D substrate by ALD was demonstrated by depositing the same 5-layer TMDC heterostructure on a nanowire array. Furthermore, this work also shows phase-controlled growth of NbS3 on NbS2 yielding NbS2-NbS3 TMDC-TMTC heterostructures. The phase-control between NbS2 and NbS3 was obtained by modulating the H2S:H2 ratio in the coreactant at a constant deposition temperature of 300 °C.The current study exhibits the advantages of ALD for the scalable synthesis of 2D heterostructures on 3D structures with precise thickness control at low temperatures. This work will open new avenues for both ALD and 2D TMCs in terms of nano device fabrication.

1 A.K. Geim et al, Nature 499, 419 (2013).

2 W. Hao et al, 2D Mater. 6, 012001 (2018).

3 S.B. Basuvalingam et al, Chem. Mater. 31, 9354 (2019).

View Supplemental Document (pdf)
TF-Contributed On Demand-58 Multi-Stimuli Responsive Nanorods for Artificial Skin Applications
Taher Abu Ali (Graz university of Technology, Joanneum Research Forschungsgesellschaft mbH); Katrin Unger (Graz University of Technology); Barbara Stadlober (Joanneum Research Forschungsgesellschaft mbH); Anna Maria Coclite (Graz University of Technology)

The work summarized in this abstract presents a multi-stimuli responsive sensor for artificial skin applications. The sensor can detect surrounding changes in temperature, humidity and force. The developed design consists of a hydrogel core, responsive to temperature and humidity changes; and a piezoelectric shell for force sensing. Swelling of the hydrogel core, in response to stimuli, mechanically strains the piezoelectric shell and a measurable electric charge is generated. The two materials are combined into core-shell nanorod structures, using state-of-the-art vapor-based deposition techniques. These deposition techniques provide control over material’s mechanical, optical and electrical properties in addition to film’s conformity and uniformity. Moreover, the core-shell nanorods are deposited into a nanostructured UV-curable resin, providing mechanical stability against structural collapse.

  • Synthesis of hydrogel core: humidity and temperature responsive hydrogel, Poly-N-vinylcaprolactam (pNVCL), is synthesized using intitiated chemical vapor deposition (iCVD). The dry vapor-phase technique gives control over the lower critical solution temperature (LCST), amongst other material properties.(1) Tailoring the hydrogel’s LCST defines response over a specific temperature range.
  • Synthesis of piezoelectric shell: piezoelectric zinc oxide is synthesized using plasma-enhanced atomic layer deposition (PE-ALD).In PE-ALD, substrate temperature defines the deposited film’s crystalline properties. A combination between (100) and (002) crystallographic orientations gives control over zinc oxide’s piezoelectric properties. In this work, piezoelectric zinc oxide layer with combined (100) and (002) preferential orientation is deposited at low temperatures, which is advantageous for when flexible substrates, such as PET, are used.(2)
  • Nanostructuring of UV-curable resin: The multi-stimuli responsive core-shell nanorods are deposited into nanostructured UV-curable polyurethane acrylate (PUA) resin functioning as a template. Patterning is achieved using UV nanoimprint lithography (UV-NIL).
  1. F. Muralter, A. Perrotta, O. Werzer, A. M. Coclite, Macromolecules, 2019, 52, 6817-6824
  2. Abu Ali et al., Phys. Status Solidi a, 2020, 2000319
View Supplemental Document (pdf)
TF-Contributed On Demand-61 Towards Area-Selective Deposition: Nucleation and Initial Growth of ZnO During Plasma-Enhanced ALD on Polymer Thin Films
Lisanne Demelius, Anna Maria Coclite, Katrin Unger (Graz University of Technology)

Area-selective atomic layer deposition (AS-ALD) has become a hot topic in the field of nanofabrication for combining self-aligned patterning with precise thickness control and high conformality of the resulting thin films. Over the past years, area-selective growth has been successfully demonstrated for a variety of materials. However, to date, most processes have focussed on the selective deposition on inorganic-inorganic or inorganic-organic substrate combinations employing thermal ALD.

It is our aim to extend area-selective deposition processes to polymer-polymer patterns, thus accessing new applications fields such as organic electronics or nanodevice fabrication based on 2-photon polymerization. The use of plasma-enhanced ALD (PE-ALD) instead of thermal ALD provides a completely new approach towards area-selective growth by using the etching effect of the plasma on the polymer substrates to achieve different growth delays.

As an essential step towards area-selectivity, nucleation and initial growth of ZnO on two different vapor deposited homopolymer thin films - ethylene glycol dimethacrylate (EGDMA) and hydroxyethylmethacrylate (HEMA) - are investigated using in-situ spectroscopic ellipsometry. This is the first time that an initial growth study is conducted for PE-ALD on polymer thin films.

Our results show that film formation is a consequence of two competing processes: ZnO ALD growth and plasma etching of the polymer substrates. During the initial ALD cycles, polymer etching dominates, resulting in an overall decrease in thickness. At a certain point, ZnO growth takes over and the regime of normal ALD growth behaviour is entered. This etching-induced growth delay is found to depend both on the oxygen plasma power and the type of polymer. At 30 W plasma power, ZnO growth starts to dominate after 3 and 5 ALD cycles for EGDMA and HEMA respectively with a total polymer thickness loss of less than 2 nm. At 60 W, stronger etching (3.2 and 3.8 nm/10 s plasma pulse) leads to higher growth delays of 13 and 20 cycles. This material-dependent difference in growth delay is exactly what is needed for area-selective growth.
Raising plasma power further results in higher etching rates (5.7 and 8.3 nm/plasma pulse at 100 W) but does not further increase the growth delays. The resulting ZnO films (20 nm thick) are very smooth with roughness values ranging from 50 pm at 30 W plasma power to 350 pm at 100 W.

Our work provides vital knowledge about the initial growth of ZnO during PE-ALD on polymer thin films and illustrates a novel approach towards area-selective deposition by exploiting material-dependent growth delays induced by plasma etching.

TF-Contributed On Demand-64 Process Optimization in Atomic Layer Deposition Using Machine Learning
Jeffrey Elam, Angel Yanguas-Gil (Argonne National Laboratory); Steven Letourneau, Anil U. Mane, Noah H. Paulson, Alec N. Lancaster (Argonne National Laboratory, USA); Sam Abuomar (Lewis University)
Process development and process optimization are ubiquitous, resource-intensive tasks in thin film research and development. The goal of these activities is to find the set of process parameters (e.g. temperature, pressure, and flow) that maximize film quality at minimal cost. Typically, this is accomplished by coating a substrate (e.g. a silicon wafer) under a given set of conditions, measuring the film properties ex situ, and adjusting the conditions to improve the film quality. This activity can consume significant time and resources, especially if an additional goal is to achieve uniform films across a large substrate. Process development can be accelerated and economized using in situ measurements. For instance, quartz crystal microbalance (QCM) measurements can be employed to monitor film thickness in real time as the deposition conditions are varied. However, this still requires the careful attention of a skilled operator to make informed choices based on experience and intuition. An alternative strategy is to use machine learning (ML) to analyze the QCM data in real time and adjust the growth conditions based on an algorithm. To explore this possibility, we used ML to optimize the atomic layer deposition (ALD) of Al2O3 with trimethyl aluminum (TMA) and H2O in a viscous-flow tubular reactor using in situ QCM measurements. We initially developed the ML code using simulated QCM data generated by a 1-D model of ALD transport and reaction. This allowed us to tailor the algorithm to ensure saturation of the TMA and H2O ALD reactions and to converge efficiently on the optimal dose and purge times. We examined several ML algorithms including Bayesian optimization, physics-inspired optimization, and random optimization. An additional benefit of these simulations was that we could explore the effects of non-ideal behavior such as a CVD component to the surface reactions and strong interaction between the reaction products and the surface. Next, we interfaced the ML code to our ALD system and allowed the algorithm to optimize the TMA and H2O timings. We observed rapid convergence, as predicted by our simulations, and found that the ML algorithm was capable of adapting to variations in the initial conditions such as the precursor partial pressures and the carrier gas flow rate. We are now building an array of QCM sensors to measure the thickness simultaneously at 10 locations along our flow tube, and we hope to report on ML opimization of thickness and uniformity using this array.
TF-Contributed On Demand-67 Influence of Precursor Density and Conversion Time on Vapor Deposited Oriented ZIF-8 Thin Films
Marianne Kräuter (Graz University of Technology)

Solvent-free methods for synthesis of metal organic frameworks are currently on the rise, with the aim of facile processing and access to new application fields. These requirements are met by MOF-CVD – a two-step chemical vapor deposition process, that allows for the delivery of high-quality, homogeneous thin films of zeolitic imidazole framework 8 (ZIF-8) from ZnO1. By subjecting ultrathin (100)-oriented ZnO layers deposited via plasma-enhanced atomic layer deposition (PE-ALD) to a 2-methylimidazole vapor, homogeneous ZIF-8 thin films are obtained with controlled thickness.

While all forms of ALD offer precise thickness control, and conformality for thin film deposition in a solvent-free environment, PE-ALD offers the additional advantage of tuning the substrate temperature over a broad range, from room temperature to over 200°C by utilizing a reactive plasma species as the co-reactant. This parameter influences amongst others the preferential crystal orientation of our ZnO thin films, leading to a preferred (100)-orientation by keeping the substrate at room temperature2. To the best of our knowledge, this study is the first to employ PE-ALD for MOF synthesis via the MOF-CVD process.

To gain better control over the novel deposition technique, the impact of conversion time in combination with different densities (4.6 g/cm3 and 5.2 g/cm3) and thicknesses (1 to 10 nm) of the ZnO precursor onto the resulting ZIF-8 thin films was investigated.

X-ray diffraction studies reveal that ZIF-8 was successfully synthesized and show a powder-like structure together with a preferred (100) or (111) orientation of ZIF-8 crystals, depending on precursor thickness and density, growing more prominent with longer conversion times. The onset of crystallization occurs after mere 20 min of conversion time for the less dense precursor compared to after 40 min for the denser precursor. Also, ZIF-8 thickness and roughness increase with longer conversion times. The final thickness depends on the precursor thickness and precursor density, increasing up to 16-fold during the conversion for the less dense precursor.

Our results provide vital knowledge about the link between deposition parameters of PE-ALD deposited ZnO and properties of the resulting ZIF-8 thin films, namely crystallographic orientation, thickness, coverage and roughness, thus making it possible to tailor them towards specific applications.

1I. Stassen, M. Styles, G. Grenci, H. Van Gorp, W. Vanderlinden, S. De Feyter, P. Falcaro, D. De Vos, P. Vereecken and R. Ameloot, Nat. Mater., 2016, 15, 304–310.

2J. Pilz, A. Perrotta, G. Leising and A. M. Coclite, Phys. Status Solidi, 2019, 1900256, 1900256.

TF-Contributed On Demand-70 Modeling the Coalescence and Morphology as a Function of the Nucleation and Growth Rates
Diana LaFollette, Kinsey Canova, Zhejun Zhang, John Abelson (University of Illinois at Urbana-Champaign)

State-of-the-art integrated circuits require the deposition of few nm-thick, ultra-smooth, and pinhole-free metallic films in the device architecture, e.g., as diffusion barriers. However, CVD growth often begins from a collection of islands that become many nm tall before the entire substrate surface is covered; such films are far too rough to meet device requirements and may contain pinholes. This poor morphology occurs when the areal density of film nuclei is small relative to the growth rate on the nuclei. We previously reported two methods to dramatically improve the outcome: treating the surface to increase the density of nuclei that form at the start of film growth or using an inhibitor to reduce the film growth rate without reducing the rate at which additional nuclei can form. We have shown that a combination of these approaches affords a continuous film of Co on SiO2 that is 2 nm thick with a root mean square roughness of only ~ 0.3 nm.

Here, we use Monte-Carlo modeling to examine the role of three parameters on the morphology of ultra-thin films: the areal density of initial nuclei, the rate at which additional nuclei form, and the rate at which islands grow after nucleation. We report the evolution of the accumulation rate of film between initial nucleation and final coalescence and the corresponding surface roughness. We identify a power law relationship that connects the roughness at coalescence with the ratio of the areal nucleation rate to the growth rate on the nuclei. We also derive an analytical model, based on Poisson point statistics, that independently predicts the same power law dependence. We then compare model results with experimental data for HfB2 growth by CVD. These models are in good overall agreement with experimental data. However, the existence of substrate-dependent effects indicates that additional mechanisms, e.g., those driven by surface diffusion, modify the results obtained using the three parameters alone.

TF-Contributed On Demand-73 Tunable ALD Infiltration into Ultra-High-Aspect-Ratio Aerogels Enabled by Process Modeling for High-Temperature Solar Thermal Applications
Andrew J. Gayle, Zachary Berquist, Yuxin Chen, Alexander J. Hill, Jacob Y. Hoffman, Ashley R. Bielinski, Andrej Lenert, Neil P. Dasgupta (University of Michigan, Ann Arbor)

ALD provides a unique opportunity to impart conformal surface functionalization onto ultra-high-aspect-ratio structures, but depositions are complicated by precursor diffusion and dosing limitations. ALD modifications on substrates such as aerogels helps enable their use in fields such as concentrated solar thermal (CST), catalysis, and filtration. In particular, SiO2 aerogels possess a unique combination of desirable properties for CST applications, including high optical transparency and low thermal conductivity, but they suffer from poor stability at elevated temperatures. In this work, we investigate the ALD conditions needed to conformally coat SiO2 aerogel monoliths (AMs) with aspect ratios >60,000:1 [1]. In order to minimize precursor waste during the long diffusion times necessary to conformally coat the AMs, we implemented a multi-dose-quasi-static-mode (multi-dose-QSM) deposition procedure. In standard QSM recipes, reactor pumping is halted during an “exposure step”, allowing precursor to diffuse into a structure without being purged. In the multi-dose-QSM technique, multiple doses and exposure steps of a single precursor are implemented prior to dosing the counter reactant. This was necessary due to the large surface area of the AMs.

To enable tunable ALD infiltration depths into the AMs, we developed a model of the multi-dose-QSM deposition process. Building on previous work by Gordon [2] and Yanguas-Gil [3], our model accounts for precursor depletion in the ALD chamber during an exposure step and the effect of multiple precursor doses. This model also allowed for the optimization of the ALD coating process, including precursor usage efficiency and total process time.

SEM-EDS was used to quantify the ALD infiltration depth to validate the model. ALD saturation was further confirmed using mass gain measurements. After deposition, the AMs showed enhanced infrared light absorption while maintaining high visible light transparency after only one cycle of ALD Al2O3. The ALD-coated AM also showed improved resistance to structural degradation at elevated temperatures, likely due to stabilization of the SiO2 nanoparticle surface. These changes may be due to the formation of a ternary Al-Si-O phase at the interface between the SiO2 backbone and deposited Al2O3 film, as shown using XPS measurements. This combination of properties makes the ALD-coated AMs a promising transparent insulating material (TIM) for CST applications.

[1] A. Gayle, Z. Berquist et al. Submitted 2021.

[2] R. G. Gordon et al. Chem. Vap. Depos. 2003, 9 (2), 73-78.

[3] A. Yanguas-Gil et al. Chem. Vap. Depos. 2012, 18 (1-3), 46-52.

TF-Contributed On Demand-76 Ethanolamines as Bath Additive in Copper Electroless Deposition: Effect on Film Adhesion and Nanowire Formation
Jevalyne Vienes, Amy Walker (University of Texas at Dallas)

Electroless deposition (ELD) is one of the most commonly employed techniques for the deposition of metals on organic substrates. Although it is widely used, there has been few studies on the effect of bath additives on deposition. In this paper we investigate the effect of primary, secondary and tertiary amines on the adhesion of copper films and formation of copper nanowires using ENDOM. We employ optical microscopy, secondary electron microscopy, x-ray photoelectron spectroscopy and time-of-flight secondary ion mass spectrometry. Two model organic substrates are employed: -OH and -CH3 terminated self-assembled monolayers (SAMs). These were chosen because they exhibit hydrophilic and hydrophobic properties, respectively. Our data show that both the concentration and type of ethanolamine affect the deposition. Using monoethanolamine, the copper deposit does not strongly adhere to the SAMs. Further, the data also show that the copper film is more adherent to the -OH terminated SAMs than -CH3 terminated SAMs. We attribute these effects to the interaction of the polar hydroxyl terminal group with the ethanolamines, and further that the ethanolamines provide nucleation sites for the copper deposit on the organic substrates. Our data suggest that monoethanolamine and triethanolamine interact with the SAMs via the amine terminal group while diethanolamine lies flat on the SAM substrates. We then exploit these effects to deposit copper nanowires at the interface of -CH3 and -OH terminated SAMs using electroless nanowire deposition on micropatterned substrates (ENDOM). We show that the formation rate of the nanowires can be increased, or decreased, by using different ethanolamines. We demonstrate that nanowires form using monoethanolamine and triethanolamine but diethanolamine.

TF-Contributed On Demand-79 Plasma Enhanced Atomic Layer Deposition of WOX Using a Wide Temperature Stability Precursor
Kamesh Mullapudi, Konner Holden, Jessica Peterson (Oregon State University); Ravindra Kanjolia, Dan Moser, Charles Dezelah (EMD Performance Materials); John Conley Jr. (Oregon State University)

Tungsten oxide (WO3) has garnered interest lately for its use in smart windows, gas-sensors, and as a potential candidate for emerging neuromorphic memories. Microelectronics applications require films of high electrical quality with excellent conformality, uniformity, and thickness control for which atomic layer deposition (ALD) is the method of choice.

Early thermal ALD reports of WO3 films employed the use of halides which resulted in self-etching by reaction byproducts and carbonyl precursors with narrow ALD windows.1,2 More recent work has focused on metal-organic chemistries and plasma-enhanced ALD (PEALD), which provides benefits such as lower deposition temperatures and wider ALD windows. Examples include WH2(iPrCp)2 & direct O2 plasma at 300°C, W(tBuN)2(Me2N)2 & direct O2 plasma with a constant growth per cycle (GPC) window between 215-286°C, and WH2(Cp)2 & remote O2 plasma with a constant GPC window between 200-300°C.3,4 Both W(tBuN)2(Me2N)2 and WH2(Cp)2 showed signs of decomposition above 300⁰C, limiting their use at higher temperatures.5,6 There remains a need for a precursor with a wider temperature range compatibility.

In this work we report PEALD of WO3 using a novel metal-organic tungsten precursor [bis(tert-butylimido)bis(trimethylsilylmethyl)tungsten(VI), W(CH2Si(CH3)3)2(CN(CH₃)₃)2 or WSN-4] with remote O2 plasma in a Picosun R200 reactor. Using a 2/15/60/30 sec WSN-4/N2/O2 plasma/N­2 pulse sequence, GPC decreases from 1.0 to 0.4 Å/cycle as the growth temperature increases from 120 to 285°C, becoming relatively constant between 285 and 370°C. The higher growth temperatures are accompanied by an increase in optical refractive index and a slight reduction in bandgap (Fig. 1). Self-limiting growth was observed even at 200°C (Fig. 2 & 3) indicating the low-temperature compatibility of the process. Grazing angle x-ray diffraction (GI-XRD) reveals that 12 nm thick films deposited at 200°C are amorphous, while post-deposition isochronal (60 min) O2 annealing at 600°C produces crystallization into the triclinic phase of WO3 with an estimated grain size of ~30 nm (Fig. 4 and SEM image inset).

Further details on WSN-4 properties, nucleation behavior on BEOL metals such as TiN and TaN, film density, stoichiometry, and electrical properties of ALD WO3 will be discussed at the meeting.

1. P. Tägtström et al., J. Electrochem. Soc. 146, 3139 (1999).

2. J. Malm, et al., Chem. Vap. Dep. 18, 245 (2012).

3. J.-G. Song, et al., ACS Nano 7, 11333 (2013).

4. S. Balasubramanyam et al., J. Vac. Sci. & Tech. A 36, 01B103 (2017).

5. R.I. Romanov et al., J. Phys. Chem. C 124, 18156 (2020).

6. K. Bergum, et al., J. Mater. Chem. A 2, 18463 (2014).

View Supplemental Document (pdf)
TF-Contributed On Demand-82 Molecular Layer Deposition of Phosphane-Ene Polymer Films
Sean Barry (Carleton University, Canada); Paul Ragogna (University of Western Ontario, Canada); Peter Gordon (Carleton University, Canada); Christine McGuiness (Solvay)

Molecular layer deposition of organic polymer thin films has become a burgeoning research field, and the types of completely organic thin films continue to grow: examples of nitrogen-containing polyimides polyamides, oxygen-based polymers like PEDOT, and sulfur-containing thiol-enes all expand the application space for MLD polymer films.

Phosphane-enes are phosphorus-rich, using a cross-linking alkene and a wide variety of phosphines to synthesize a family of highly tunable and structurally diverse versatile polymers. These have previously been fabricated in the solution phase using photo-induced polymerization. This work reports the gas-phase MLD of a tetravinylsiloxane-based phosphanene using isobutyl phosphine.

The MLD employs an A-B-C pulse sequence: a 0.1 s phosphine pulse, held for 149 s to allow full monolayer formation, 60 s Ar plasma pulse, followed by a 1.0 s TTC pulse held for 149 s to allow full reaction with the phosphine monolayer. The process was run at 40 °C and 600 W plasma power gave a growth per cycle of 0.8 Å.

This presentation will detail the suspected growth mechanism and characterization of the film by SEM, AFM, EDS, and XPS. The polymer selectively grows on hydroxylated surfaces; selectivity studies between metal surfaces and hydroxylated silicon surfaces will also be presented.

View Supplemental Document (pdf)
TF-Contributed On Demand-85 Atomic Layer Deposition of HfO2 on SiO2: Evidence for a Bimolecular Reaction Mechanism in the Initial Metal Half-Cycle
Payam Shayesteh (Lund University); Roman Tsyshevsky (University of Maryland); Ashley R. Head (Brookhaven National Laboratory); Jean-Jacques Gallet (Sorbonne Université and Synchrotron SOLEIL); Fabrice Bournel, François Rochet (Sorbonne Université); Maija Kukla (University of Maryland); Joachim Schnadt (Lund University)

Today, atomic layer deposition (ALD) [1] is among the most important methods for thin film growth [2], especially in semiconductor device technology, but increasingly also for, e.g., solar energy conversion, catalysis and energy storage materials. Ideal ALD processes exhibit excellent dimension control in the direction perpendicular to the surface onto which the thin film is deposited. The very high degree of control derives from a well-defined surface chemistry that is based on the saturative adsorption and surface reaction of the ALD precursors. Real ALD processes deviate, however, from the idealised reaction mechanisms [3,4]. This results in deviation from ideal film growth and loss of deposition control. Detailed and proper insight into ALD reactions mechanisms provides an avenue towards knowledge-based improvement of ALD processes and therefore towards a higher degree of control and improved ALD materials. In situ and operando characterisation, carried out during thin film deposition, can contribute greatly to the development of such a proper understanding of ALD reaction mechanisms [5-7]. One such in situ and operando characterisation method is ambient pressure x-ray photoelectron spectroscopy (APXPS), which we have used, together with density functional theory (DFT), to study the ALD of HfO2 on a SiO2 surface from tetrakis(dimethylamido) hafnium (TDMAHf) and water.

The APXPS and DFT results provide clear evidence for a bimolecular surface reaction mechanism in the initial half-cycle of ALD. This bimolecular mechanism proceeds via a β-hydride elimination reaction between two neighbouring, surface-adsorbed TDMAHf complexes. Without this intermolecular interaction any conceivable surface chemical reaction mechanism is so endothermic that it does not proceed under standard reaction conditions. Surface hydroxyls are found not to play any role in the initial surface chemistry of the TDMAHf ALD on SiO2; indeed, our results provide a straightforward explanation of why the process is possible on hydroxyl-free surfaces, even though standard ligand exchange reaction models of transition metal amido complex ALD require the presence of surface hydroxyls. The APXPS and DFT results provide detailed insight also into the chemistry of subsequent half-cycles.

[1]V. Miikkulainen et al., J. Appl. Phys. 113 (2013) 021301.

[2]R. A. Ovanesyan et al., J. Vac. Sci. Technol. A 37 (2019) 060904.

[3]F. Zaera, Coord. Chem. Rev. 257 (2013) 3177.

[4]N. E. Richey et al., J. Chem. Phys. 152 (2020) 040920.

[5]B. A. Sperling et al. Appl. Spectrosc. 67 (2013) 1003.

[6]A. R. Head et al., J. Phys. Chem. C 120 (2016) 243.

[7]R. Timm et al., Nature Commun. 9 (2018) 412.

TF-Contributed On Demand-88 Feature Scale Model to Investigate Aspect Ratio Dependency of SixNy Atomic Layer Deposition using Si2Cl6 and NH3
Evrim Solmaz (University of Texas at Austin); Seung-Min Ryu, Jiho Uh (Samsung Electronics); Laxminarayan Raja (University of Texas at Austin)

Semiconductor memory fabrication applications rely on deposition of very thin silicon nitride films with uniform film thickness and electrical properties. Such high quality film requirements and miniaturization has made atomic level control a necessity, which can be achieved via atomic layer deposition (ALD) with a low thermal budget. While ALD has been studied experimentally by many authors, there exist significant gaps between recent experimental observations and the general understanding of the practical implications of the ALD process. In this work, a computational model of thin film deposition for silicon-based ALD applications is developed. The model provides a surface chemistry mechanism for the deposition of hexachlorodisilane (Si2Cl6) on a silicon wafer. The mechanism informs us on the sticking probability of the Si2Cl6 precursor on a Si surface as well as a consequential active surface reaction site instability. This reaction chemistry is applied to simulate ALD in deep-hole structures of a wafer using a particle kinetic model. This feature scale model was able to demonstrate aspect ratio dependent deposition (ARDD), a phenomenon characterized by neutral shadowing and Knudsen transport effects. The statistical nature of the deposition dynamics in features with a small critical dimension of ~100 nm and high-aspect ratios is also predicted. The model demonstrates an increase in deposition completion time as aspect ratio increases, while emphasizing deposition surface area enlargement as an important factor.

TF-Contributed On Demand-91 Nucleation and Growth of Thermal ALD Au Films - Towards Coalescence of Ultrathin Films
Benjamin Greenberg, Jason Avila, Jeffrey Woodward, Neeraj Nepal, Boris Feigelson, Virginia Wheeler (U.S. Naval Research Laboratory)

Gold is the metal of choice in many electronic and optoelectronic applications due the beneficial combination of high electrical and thermal conductivity with excellent resistance to corrosion. For this reason, significant efforts have been made to develop ALD Au precursors, resulting in two possible options - Me3Au(PMe3) and Me2Au(S2CNEt2)1,2. The latter is particularly interesting due to its ability to thermally deposit films with near bulk resistivities2. In this case, fully coalesced films required relatively thick (>20nm) films and thus limits their use as transparent metal films in applications such as neutral beam splitters, transparent electrodes, and thermal insulating and solar control coatings. In this work, we focus on understanding the nucleation and growth mechanisms of thermal ALD Au films and the influence of substrate and deposition parameters on the ability to reduce the thickness at which fully coalesced films can be attained.

ALD Au films were deposited sing Me2Au(S2CNEt2) and ozone at temperatures (Tg) from 125-200°C. The Au precursor was held at 110°C and delivered using a vapor boosted process. Initial films were deposited on a variety of substrates with different chemical inertness, wettability, and roughness including sapphire, Si, ALD TiN, Au, and others. SEM images reveal that growth on all substrates proceeds similar to other CVD Au processes with nucleation and growth of individual islands, which then form elongated structures that lead to percolation and eventually fully coalesced films. This type of growth makes it difficult to define thickness with traditional methods such as ellipsometry. Thus, we use a combination of XRR, ellipsometry, and XPS to evaluate the films and define ALD windows. For instance, at 200 cycles, film thickness is constant at ~24 nm from 150-200°C but the density and total coverage increases with Tg.

Initial results at 150°C show that the percolation threshold (tc) is dependent on substrate with tc on Au < sapphire < Si < ALD TiN, suggesting that thinner films can be obtained on more inert surfaces. Thick films (50-60nm) show resistivities of 3-4.8 μΩ cm depending on substrate and pinhole density. At low Tg here, the kinetic freezing model can be used to describe the crossover from island growth to coalescence3. This suggests that tc is influenced by growth temperature, surface diffusion constant, and surface energy. We will discuss our approach to decouple these aspects and their resulting effect on reducing tc.

1. Griffiths et al. Chem Mat. 2016, 28, 44-46

2. Makela et al. Chem Mat. 2017, 29, 6130-6136

3. Jeffers et al. JAP 1994, 75, 5016-5020 View Supplemental Document (pdf)
TF-Contributed On Demand-94 Modification of Mesoporous Thin Films by Atomic Layer Deposition for the Fabrications of Electrocatalysts for Oxygen Evolution Reaction
Muhammad Hamid Raza (Humboldt University Berlin, Germany); Marvin Frish, Ralph Kraehnert (Technical University of Berlin); Nicola Pinna (Humboldt University Berlin, Germany)
The principal aim of this study is to improve the electrochemical water splitting efficiency by developing novel electrodes along with a significantly lower contents of catalytically active species to curtail the cost of the catalysts as a major challenge. Herein, novel catalysts are synthesized by depositing catalyst layers directly onto mesoporous thin films as conductive supports providing a reasonably large surface area to the system. Active catalyst materials such as IrOx and NiO are deposited by atomic layer deposition using different low and high vapour pressure metal precursors. The composition, morphology and crystallinity of the deposited film is controlled by varying different deposition parameters. Varying amount, size and morphologyof the metal oxides catalysts were homogeneously deposited onto a series of mesoporous films consisting of transition metal oxides. The structural, morphological and the electrocatalytic oxygen evolution reaction of the NiO (in basic media) and IrOx (in acidic media) loaded heterostructures were thoroughly investigated in order to derive clear structure-property relationship.
TF-Contributed On Demand-97 Optimization of 1D Core-Shell Heterostructures for Gas Sensing
Nicola Pinna, Muhammad Hamid Raza (Humboldt University Berlin, Germany)

Hierarchical core-shell heterostructures composed of p-, n-type and insulating metal oxide shells deposited onto carbon nanotubes (CNTs) were synthesized using atomic layer deposition. Precisely controlled films of alternating metal oxides were uniformly deposited onto the inner and outer walls of the CNTs. The morphological, microstructural and electrical characteristics of the as prepared core-shell heterostructures were thoroughly investigated. The electrical resistance measurements highlighted the large influence of the metal oxides thickness and charge carriers types on increasing of many order of magnitude the baseline resistance of the core-shell heterostructures with various thicknesses of the metal oxide shell layers, suggesting that the conductivity of the sensors is dominated by Schottky barrier junctions across the n-p interfaces.

The behavior of our sensors was investigated for low concentrations of volatile organic compounds and pollutants. The gas sensing response of the heterostructures showed a strong dependence on the thickness of the metal oxide shell layers and the type of heterostructures formed. On the basis of the morphological, microstructural and electrical characterization and sensing results, the sensing mechanism which accounts for the marked variation in the resistance during the interaction of the target gas molecules will be discussed.

TF-Contributed On Demand-100 ALD-based Nanolayers for Germanium Surface Passivation
Willem-Jan Berghuis, Roel Theeuwes, Bart Macco, Erwin Kessels (Eindhoven University of Technology)

The passivation of Ge and SiGe surfaces by ALD films has been a long-standing challenge. Currently this challenge has become extra relevant due to the application of (Si)Ge as channel materials for the newest gate-all-around field-effect transistors1, but also regarding the interest in Ge and SiGe as light emitting media for silicon photonics2. These emerging applications put different demands on the materials, thicknesses and process conditions that can be used to provide the required level of surface passivation to enable satisfying device performance. It is therefore relevant to develop an extensive toolbox of passivation materials and techniques to meet these demands.

In this work we discuss our latest findings about several potential passivation schemes for Ge including atomic layer deposited Al2O3 as well plasma enhanced chemical vapor deposited (PECVD) a-Si:H and POx in stacks such as POx/Al2O3 and a-Si:H/Al2O3. We have determined the surface recombination velocity (Seff), fixed charge density (Qf), and in some cases also the interface defect density (Dit) and an estimation of the capture cross-sections of electrons and holes. With these parameters we were able to determine the passivation potential of each of these schemes and the type of application for which they are suited best. Moreover, we have visualized several of the investigated interfaces by cross-sectional TEM and we have linked our findings on Ge to earlier findings on Si surfaces. Key achievements of our work include a surface recombination velocity on Ge as low as 2.7 cm/s and a negative fixed charge density as high as (8-15)x1012 cm-2.

1P. Ye, T. Ernst, and V. M. Khare: The Last Silicon Transistor. IEEE Spectr.58(8), 31 (2019)

2E. M. T. Fadaly et al., “Direct-bandgap emission from hexagonal Ge and SiGe alloys,” Nature, vol. 580, pp. 205–209, 2020.

View Supplemental Document (pdf)
TF-Contributed On Demand-103 The Effect Growth Conditions on the Phase Composition and Microstructure of Gallium Oxide Thin Films Deposited Using MOCVD
Jingyu Tang, Kunyao Jiang, Anna Park, Liuxin Gu, Robert Davis, Lisa Porter (Carnegie Mellon University, USA)

Over the past decade Ga2O3 has accrued increased interest because of its ultra-wide bandgap, its availability as single-crystal substrates, its high electric breakdown field, and its excellent Baliga’s figure of merit (BFOM) for power electronics. Ga2O3 possesses four different verified polymorphs: the rhombohedral (α), monoclinic (β), orthorhombic ε(k), and defective spinel (γ) phases. The majority of research has been conducted on β-Ga2O3, because it is the thermodynamically stable phase and the phase produced in melt-grown substrates. However, this phase possesses significant anisotropic physical and electrical properties. The other polymorphs (in epitaxial form) are of interest, as their crystal structures have higher symmetry, and all have wide bandgaps (~4.7–5.3 eV) and high transparency in the visible and UV regions. In particular, ε(κ)-Ga2O3 is ferroelectric with a high spontaneous polarization that could be exploited in heterostructures for high electron mobility transistors. In this study, we investigated the effects of selected growth conditions on the heteroepitaxial growth of β- and ε-Ga2O3 films on 0.15° off-axis (toward m-plane), (0001) sapphire substrates using low-pressure metal-organic chemical vapor deposition (MOCVD). TEGa and high purity O2 were used as precursors and N2 was used as both the carrier gas for TEGa and the diluent gas. The vent-to-run mode was applied for the growth to stabilize the flow rates of both precursors before entering into the reaction chamber. During the growth, the chamber pressure was kept at 20 Torr. The flow rate of TEGa ranged from 0.30 to 1.92 sccm, while the flow rate of O2 was kept as 500 sccm and the temperature was varied between 515℃ to 570℃. XRD 2θ-ω results showed that deposition of the ε-phase is achieved at the lower growth temperatures and higher TEGa flow rate (low VI/III ratio) whereas the β-phase forms at higher growth temperature and lower TEGa flow rate (high VI/III ratio), with mixed-phase growth for conditions in between. The out-of-plane epitaxial relationships are (-201)β-Ga2O3 || (0001) α-Al2O3 and (0001)ε-Ga2O3 || (0001)α-Al2O3. In addition, the microstructure of the films showed a dependence on the phase composition. Using the growth conditions for the films with the mixed phases tended to result in rough and discontinuous films. XRD phi (φ) scans were conducted to characterize the in-plane microstructure. The results suggest that the ε-Ga2O3 films have a dominant in-plane orientation. In this presentation we will discuss the MOCVD growth conditions that lead to nominally phase-pure β- and ε-Ga2O3 films and the metrics associated with achieving an optimized microstructure.

TF-Contributed On Demand-106 Temporal Evolution of Electrical Contact Resistance Observed via Improved Conductive Atomic Force Microscopy
Saima Sumaiya, Mehmet Baykara, Mohammad R. Vazirisereshk, Rimei Chen, Ashlie Martini (University of California Merced)

Electrical contact resistance (ECR) is a crucial parameter to optimize the design and, evaluate the contact reliability and performance of small-scale electrical and electro-mechanical systems. Even though there have been extensive studies of ECR at macro- and microscopic length scales, the fundamental mechanisms affecting ECR on the nanoscale need further investigation. Although conductive atomic force microscopy (C-AFM) appears to be an ideal method to measure nanoscale ECR, related measurements suffer from a high degree of variability and poor reproducibility due to a number of factors including variations in sample roughness, changes in humidity, adsorption of contaminants, temperature fluctuations as well as deformation of the tip apex. Here, we explore the temporal evolution of ECR at nanoscale contacts via an improved C-AFM technique that circumvents the adverse effects of the aforementioned factors [1]. Experimental results, complemented with molecular dynamics (MD) simulations, show that ECR decreases with time due to local pressure activated contact aging i.e. an increase in real contact area due to atoms diffusing into contact [2]. We additionally observe spontaneous jumps in ECR during measurements performed over several minutes, which are suppressed through the application of higher normal loads.

[1] Sumaiya, S.A., Martini, A., Baykara, M.Z., Nano Express 1, 030023 (2020).

[2] Vazirisereshk, M.R., Sumaiya, S.A., Chen, R., Baykara, M.Z., Martini, A., Tribology Letters 69, 50 (2021).

TF-Contributed On Demand-109 Advances in Plasma-Enhanced Atomic Layer Deposition of Ga2O3 and (AlXGa1-X)2O3 Films
Virginia Wheeler, Neeraj Nepal, Syed Qadri, Jason Avila, Brian Downey, Vikrant Gokhale, Andrew Lang, Rhonda Stroud, David Meyer (Naval Research Laboratory)

Ga2O3 is a promising ultra-wide bandgap material for future power electronics. While β-Ga2O3 is the most stable and studied of six Ga2O3 polymorphs, the slightly less energetically favorable α-, ε-, and κ-Ga2O3 phases have unique characteristics that can be exploited such as larger bandgaps, alloying for dopant control, or polarization beneficial to the formation of two-dimensional electron gas (2DEG) channels. Recently, delta-doping and heterojunctions with (AlxGa1-x)2O3 have emerged as critical aspects to realizing devices based on Ga2O3, both of which can be addressed by thin plasma-enhanced atomic layer deposition (PEALD) layers. PEALD is a conformal, energy-enhanced synthesis method for thin films due to its many advantages compared to thermal processes, including: deposition at reduced growth temperatures, access to metastable phases, improved crystallinity, and increased growth rates. Previously, we have demonstrated the ability to control Ga2O3 phase using PEALD [1,2]. Here, we use PEALD to produce high-quality heteroepitaxial Ga2O3 and (AlxGa1-x)2O3 (AlGO) films and investigate materials properties such as doping, ternary solubility limits and electrical performance.

All Ga2O3 and AlGO films were deposited in a Veeco Fiji G2 reactor equipped with a load lock and turbo pump using trimethygallium, trimethylaluminum, and O2 plasma at 295-400°C. While PEALD is beneficial for depositing thin films of metastable phases, practical devices often require much thicker barrier and active layers. Thus, we investigated integrating PEALD metastable Ga2O3 films with traditional semiconductor deposition techniques, like molecular beam epitaxy (MBE), capable of extending these layers beyond 100 nm. The same MBE conditions were used to deposit Ga2O3 films on GaN substrates with and without PEALD ε(κ)-Ga2O3 nucleation layers. Those without the PEALD metastable nucleation layer resulted in stable β-phase films, while those with nucleation layers resulted in pure ε(κ)-phase films. This shows the importance of PEALD for realizing practical device structures using metastable phases.

Finally, to investigate heterojunctions for 2DEG formation, AlxGa1-xO films were developed. While the full stoichiometric range could be reached using a PEALD digital alloying method, crystallinity was lost above x = 0.2 for the β phase, x = 0.35 for the ε(κ) phase, and x=0.6 for the α phase. Initial device structures will be shown in order to establish the feasibility of these films in device applications.

[1]Wheeler,et al. Chem. Mater. 2020, 32, 1140

[2]Boris,et al. JVSTA 2019, 37(6), 060909

TF-Contributed On Demand-112 Structure Related Investigations and Fracture Resistance of Ti-Mo-B Thin Films
Christoph Fuger, Anna Hirle, Rainer Hahn (CDL-SEC, TU Wien); Maximilian Weiss (Institute of Chemical Technologies and Analytics, TU Wien); Oliver Hunold (Oerlikon Balzers, Oerlikon Surface Solutions AG); Peter Polcik (Plansee Composite Materials GmbH); Helmut Riedl (CDL-SEC, TU Wien)

Transition metal diborides (TMB2) offer a great potential for being applied as protective coatings on various components in industrial applications, especially for high-performance components, i.e. turbine blades. Due to very promising material characteristics, arising from the hexagonal crystal structure with an alternating stacking of covalent bonded boron hexagons and metal planes (AlB2 prototype, SG191, α), TMB2 based coating materials experience increasing attention. Density functional theory (DFT) calculations of various TMB2 allowed a classification by its elastic properties (bulk modulus, shear modulus, Poisson’s ratio), into brittle and ductile regions. The results suggest that combining brittle but hard TiB2 (G/B > 1.0, ν < 0.15) with ductile MoB2 (G/B < 0.55, ν > 0.26) could have a great potential for a material system with enhanced fracture resistance, while sustaining high hardness.

Therefore, we deposited TiB2 and Ti-Mo-B based coatings by DC magnetron sputtering using TiB2/C 99/1, TiB2/MoB 95/5 mol%, TiB2/MoB 90/10 mol%, as well as TiB2/MoB 80/20 mol% powder metallurgical produced 6-inch targets. For the synthesis we applied an industrial scale as well as an in-house developed deposition system. Structural analysis and mechanical properties showed that this material system is highly sensitive regarding the plasma distribution and stoichiometry of the thin films. The chemical composition of all coatings has been analyzed by liquid inductively coupled plasma optical emission spectroscopy (ICP-OES) revealing decreasing boron content with increasing Mo. Furthermore, micromechanical cantilever bending experiments have been applied for determining the materials critical stress intensity factor (KIC). Moreover, the oxidation behavior and thermomechanical properties have been investigated to gain a broader insight into the Ti-Mo-B based material system.

Keywords: Transition Metal Diborides, Fracture Resistance, Mechanical Properties, Oxidation Resistance, Physical Vapor Deposition

TF-Contributed On Demand-115 2D Mos2 Layers Synthesized by ALD as Diffusion Barriers in Interconnects
Sanne Deijkers, Arthur de Jong (Eindhoven University of Technology); Hessel Sprey, Jan Willem Maes (ASM); Erwin Kessels, Ageeth Bol, Adrie Mackus (Eindhoven University of Technology)

The deposition of closed nanometer-thick films as required for the further miniaturization of nanoelectronics is a real challenge. Conventional TaN/Ta barriers in Cu interconnects fail at thicknesses below 3 nm [1] and cannot be scaled further. To reduce the thickness of the barrier, the TaN/Ta layers could be replaced by atomically-thin 2D materials, such as 2D transition metal dichalcogenides (TMDs). In this work we report on the diffusion barrier performance of MoS2 synthesized by atomic layer deposition (ALD). Up to this point, research concerning 2D TDMs as barrier layers involved chemical vapor deposition (CVD) processes [2]. ALD provides the control and high conformality that is required to deposit closed ultra-thin films.

MoS2 layers have been deposited using a plasma-assisted ALD process using Mo(NMe2)2(NtBu)2 as the precursor and H2S plasma as the co-reactant. The barrier performance of the MoS2 layers against Cu diffusion is characterized by time-dependent dielectric breakdown (TDDB) tests. MoS2 layers of 4 nm (processed at 450°C) show a mean time to failure (TTF50%) of 8.4 h (30 077 s), where the longest observed breakdown time is72 hours. Also, MoS2 layers of 6 nm result in a substantial improvement in performance as compared to barrierless structures (TTF50%=187s).

Depositions have been performed at various temperatures (200, 350 and 450°C) to study the influence of the morphology on the barrier performance and to obtain insight in the Cu diffusion mechanism through the barrier layer. From previous studies it is known that the MoS2 growth evolves from amorphous to crystalline 2D layers with increasing deposition temperature, and eventually to out-of-plane-oriented (OoPO) films [3]. The first results show that the crystalline OoPO MoS2 films deposited at 450°C display good barrier performance.

Continued optimization of the thin MoS2 layers can result in further improvement in the barrier performance. In the presentation the influence of the morphology of the MoS2 layers on the barrier performance will be discussed.

[1] Lo et al., npj 2D Mater Appl 1, 42, (2017)

[2] Lo et al., J.Appl.Phys. 128, (2020)

[3] Sharma et al.,Nanoscale, 10, 8615, (2018)

View Supplemental Document (pdf)
TF-Contributed On Demand-118 Polarization Sensitive Characterization of 2D Metasurfaces Fabricated by Direct Laser Writing
Micheal McLamb (University of North Carolina at Charlotte); Yanzeng Li (University of Chicago); Paige Stinson, Serang Park, Glenn Boreman, Tino Hofmann (University of North Carolina at Charlotte)

Fabrication of 2D metasurfaces is often time consuming and expensive involving complex lithographic processes. One of the most intricate parts of state-of-the-art fabrication of metasurfaces is the design and construction of the lithographic mask. Therefore, maskless direct laser writing-based techniques are currently being investigated as a suitable alternative, providing cost-effective, rapid prototyping of 2D metasurfaces. Here we report on the fabrication and polarization sensitive infrared-optical characterization of 2D metasurfaces composed of rectangular Au bars. The investigated 2D metasurfaces were fabricated using a three-step process which will be discussed in detail in our presentation. First, a sacrificial layer was deposited using a two-photon polymerization process, which allows maskless fabrication of the inverse 2D metasurface. This step is followed by metallization and subsequent sacrificial layer lift off. As a result, a 2D metasurface with high fidelity is obtained. The infrared reflection measurements of the fabricated 2D metasurfaces were carried out in the range from 3 µm – 16 µm and reveal several resonances which can be distinguished by their response to the varying input polarization. The observed infrared reflectivity is found to be in good agreement with finite element calculations. Based on the infrared-optical response of the fabricated 2D metasurfaces, we conclude that two-photon polymerization allows for simple and maskless fabrication of 3D metasurfaces designed for the infrared spectral range.

TF-Contributed On Demand-121 Reciprocal Plasmonic Metasurfaces for the Detection of Ambient Changes Induced by Atomic Layer Deposition
Micheal McLamb (University of North Carolina at Charlotte); Yanzeng Li (University of Chicago); Paige Stinson, Serang Park (University of North Carolina at Charlotte); Joshua Lentz (Air Force Research Laboratory); Tino Hofmann (University of North Carolina at Charlotte)
Plasmonic metamaterials exhibit strong localization and enhancement of fields at selective frequencies that shift substantially when introduced to analytes. This has led to interest in using plasmonic metamaterials as improved devices for sensing applications. We have recently discovered that reciprocal metasurfaces composed of two metasurfaces separated by dielectric spacer fins exhibit a very strong sensitivity to ambient changes using finite element model calculations. The reciprocal plasmonic metasurface was additively manufactured via two-photon lithography with a negative tone photoresist followed by electron beam deposition of Au. Incremental conformal coatings of amorphous Al2O3 were deposited using atomic layer deposition with the optical response monitored between depositions using FTIR microscopy to assess device sensitivity to small changes in ambient environment. The main absorption feature displays a gradual red shift per iteration of amorphous Al2O3 coating confirming that reciprocal plasmonic metasurfaces are suitable for sensing applications.
TF-Contributed On Demand-124 Effect of Film Composition and Structure on the Photocatalytic Activity of Metal Oxide Atomic Layer Deposition Thin Films
Halil Akyildiz, Shafiqul Islam, Sena Ozkan (Bursa Uludag University)

Thin film photocatalysis is a promising approach for the elimination of organic pollutants using solar energy. Immobilized catalyst films can be used multiple times without being lost in the medium of the reaction (i.e. usually a liquid solution). Atomic layer deposition being capable of forming conformal and uniform films on high aspect ratio substrates enables immobilization of the catalyst material while maintaining the high surface area needed for faster reactions. Textile fabrics, as inexpensive high surface area substrates, are deposited with thin films of various compositions of TiO2, ZnO, and Al2O3 materials. Coated fabric samples were investigated in terms of their photocatalytic activity for the degradation of organic dyes such as methylene blue, as a model system. The structure of the film materials plays a significant role in the photocatalytic performance as well as the composition. Since the repeatable photocatalytic use of the films is one of the reasons for the immobilization, the same sample was tested for photocatalytic activity against a methylene blue model solution several times. Results showed that immobilized films show photocatalytic activity each time with a slight reduction in the performance both in terms of reaction time and reaction saturation. Furthermore, in this study photocatalytic activity of these samples was tested in actual textile effluents by measuring the chemical oxygen demand (COD) changes of the solutions. This way a long-proposed use of the films is tested in an actual environmental cleaning situation where multiple organic pollutants were present at various pH values. Films are characterized with UV-Vis, XRD, XPS, and PL spectroscopy techniques as well as electron microscopy in order to explain the observed photocatalytic activity of the different compositions of the films.

TF-Contributed On Demand-127 Effect of Heat and Plasma Treatment on Carborane Self-Assembled Monolayers (SAMs) on Copper
Rupak Thapa, Lauren Dorsett, Suhaib Malik, Raja Bale, Stefan Wagner, Derrick Bailey, Anthony Caruso (University of Missouri-Kansas City); Jeffery Bielefeld, Sean King (Intel Corporation); Michelle Paquette (University of Missouri-Kansas City)

Self-assembled monolayers (SAMs) have important applications in surface engineering and thin-film processing. Their uses include chemical sensing, area-selective deposition, and modification of various surface properties such as wetting, adhesion, and friction/lubrication. In this study, we have investigated the modification of copper surfaces by thiol-carborane SAMs. Carboranes have been used to deposit boron carbide films using plasma-enhanced chemical vapor deposition for low-k interlayer dielectrics, neutron detection, and a variety of protective coatings. These are symmetric twelve-vertex molecules possessing labile hydrogen atoms at each vertex capable of crosslinking under the influence of heat or plasma. Carborane precursors are unique among other organic precursors due to their reactivity and volatility that allow vapor-phase deposition and 3D growth with potentially fewer defects. It has been shown that carborane-based SAMs provide corrosion resistance on silver and copper and have the ability to modify the electronic properties (e.g., work function) of gold, silver, and germanium. Despite different applications, the behavior of these SAMs under more aggressive conditions (e.g., high temperature, high humidity, plasma exposure) is not well documented. The thermal stability of SAMs is relevant for certain applications themselves (e.g., molecular electronics, protective coatings) as well as in assessing their suitability for further processing with high-temperature steps. In addition, it is important to study the effect of plasma on SAMs since plasma treatment can be used as an intermediary step as a way to either functionalize, stabilize or remove films. Here, we investigate the influence of heat and plasma on carborane SAMs on copper using in situ ellipsometry, in situ X-ray photoelectron spectroscopy, and water contact angle measurements. We heat the SAMs to various temperatures (150—400 °C) to investigate their thermal stability. We also use various plasmas (N2, O2, H2, Ar) to determine how these stabilize, degrade and/or otherwise modify the SAMs. We show that both thiol-carborane SAMs on copper demonstrate significant thermal stability up to 400 °C, and the results suggest that SAMs based on 1,2-dithiol-o-carborane containing two thiol groups are more thermally stable than those based on 9-thiol-m-carborane containing one. The results from the plasma treatment study demonstrate multiple effects such as parasitic film growth, surface saturation, oxidation, and other functionalization depending on the gas used. We found that 1,2-dithiol-o-carborane SAMs appear to show more significant parasitic growth and/or more rapid saturation than do 9-thiol-m-carborane SAMs. In general, we found that all types of plasma treatments oxidize the SAMs, although to different extents. The oxidation of SAMs based on 1,2-dithiol-o-carborane is slower than in the case of those based on 9-thiol-m-carborane.

TF-Contributed On Demand-130 Challenges in the Fabrication of Good Quality Bismuth Ferrite Films by Chemical Vapor Deposition
Mahendra Acharya, Chhatra Raj Joshi (University of Alabama); Tanay Gosavi, Urusa S. Alaan, John J. Plombon (Intel Corporation); Arunava Gupta (University of Alabama)

Bismuth ferrite (BiFeO3, BFO), a widely explored room-temperature multiferroic material, is a prospective candidate for application in logic and memory devices. A robust coupling between magnetism and ferroelectricity in it gives rise to an interesting property of magnetoelectricity. Magnetoelectricity leads to the possibility of low energy switching of magnetic field using an electric field that makes BFO a potential component for more energy-efficient and scalable device architecture.

After the pioneering work of Prof R. Ramesh in 2005, nearly a dozen of work has been published on the synthesis of BFO films by CVD. No good-quality saturated ferroelectric hysteresis loop (at room temperature) has been reported in the latter works. We wanted to explore the challenges in the synthesis of BFO with a good ferroelectric property by CVD technique and figure out ways to overcome the challenges by a systematic study of the effect of process parameters and stoichiometry on the ferroelectric property of the material.

In this work, good quality smooth epitaxial BFO films varying in thickness from 20 nm to 1000 nm are deposited at 600 °C using direct liquid injection equipped metalorganic CVD on lattice-matched SrTiO3 (STO) and SrRuO3 (SRO) buffered STO substrates with RMS roughness <1nm and <3 nm respectively. Films were deposited using triphenyl bismuth(III) and Fe(III) acetylacetonate as metalorganic precursors over a range of composition, temperature, and pressure. Ferroelectric and leakage characteristics of BFO are found to be greatly dependent on the stoichiometry of the films. Saturated ferroelectric loops (at room temperature) were obtained when the films are slightly Bi-rich (4-5%), Fe-rich films tend to give open hysteresis loop (even though they have a lower leakage current). Films deposited above or below an optimum pressure value (4 Torr in our setup) did not furnish good ferroelectric loops. Good quality films have been deposited at higher temperatures 650 and 675 °C, the effect of deposition temperature on the ferroelectric property is currently being studied.

View Supplemental Document (pdf)
TF-Contributed On Demand-133 Study and evaluation of a MASnI3 Perovskite/CZTS solar cell using Numerical Simulation (SCAPS-1D)
Ana Cecilia Piñon Reyes (BUAP)

Actually, perovskite based solar cells with ABX3 as a generic structure, have reached efficiencies about 25.5% (National Renewable Energy Laboratory-NREL). Among the main structures, we have hybrid solar cells based on the organo-metallic halide CH3NH3PbI3, however, due to their lead content, they have turned out to be toxic and harmful to health, for this reason other alternatives have been sought. This is the main reason to propose a MASnI3 perovskite in our research.

There are different depositing techniques for MASnI3 material, the most widely used are vacuum thermal evaporation, electrodeposition and spin coating but the characteristics will vary according to experience, quality of reagents, laboratory infrastructure, etc. However, the behavior and optimal parameters of the perovskite solar cells can be demonstrated by means of simulations with SCAPS-1D. Spiro-OMeTAD is usually used as HTL, but we replaced it with a kesterite (CZTS) layer; this provides us the advantage of having two absorbent materials that have similar band gaps 1.3 eV for MASnI3 and 1.4 eV for CZTS, as a consequence they showed an excellent affinity in their interface. Our proposed tandem solar cell is made up of the following layers: SnO2:F (FTO)/TiO2 (ETL)/MASnI3/CZTS (HTL)/Au.

The analysis carried out shows that the photovoltaic parameters Jsc, Voc, FF and PCE are strongly influenced by the thickness of the absorbent layer. The thickness was varied from 250 to 1000 nm to obtain the optimal value. Simulations showed that Jsc increases abruptly up to 500 nm and then it varies slowly with the thickness. The best Jsc obtained value was 31.74 mA/cm2 with a thickness of 700 nm; this was mainly attributed to the large absorption coefficient. The Voc shows a significant decrease, which can be attributed to the improved recombination of the free charge carriers in the thicker absorbent.

Furthermore, the highest PCE is 20% when we used a 500 nm perovskite layer, and it decreases with a further increase in the thickness of the absorbent layer. This could be due to the thickness of the absorbent layer is thinner than the diffusion length of the charge carriers; therefore, most of the charge carriers reach the electrodes and thereby increase the PCE.Besides, recombination occurs in a thick absorbent layer and therefore PCE decreases with a further increase in thickness. The decrease on defect density Nt of the absorbent layer increases the PCE, FF, Voc and Jsc of the solar cell; 1014 cm-3 was taken as the best value. The final optimized parameters of our solar cell were 500 nm and 300 nm on thickness for MASnI3/CZTS layers respectively to obtain PCE 20%, FF 67%, Jsc 31.31mA/cm2 and Voc 960 mV.

Keywords: Perovskite, kesterite, CZTS, tandem solar cell, SCAPS 1D

View Supplemental Document (pdf)
TF-Contributed On Demand-136 Analysis and Testing of Nanocrystalline Diamond Thin Film Failure During H- Stripping
Leo Saturday (University of Tennessee Knoxville); Leslie Wilson, Chris Luck, Jason Fowlkes (Oak Ridge National Laboratory); Philip Rack (University of Tennessee Knoxville); Nicholas Evans (Oak Ridge National Laboratory)

Nanocrystalline diamond (NCD) films are used at accelerator beamlines as electron stripper foils for their ideal thermal and mechanical robustness, as well as the minimal scattering carbon has on the interacting beam. While the thermal and mechanical stability of NCD allow for its prolonged use in many beamlines, there are concerns of foil failure in high energy beamlines such as the Spallation Neutron Source (SNS) where beam induced heating is expected to bring the material near the sublimation temperature. In this work, NCD stripper foils are tested using an electron beam capable of simulating the heat load of the SNS H- ion beam to elucidate potential failure mechanisms. In situ and postmortem diagnostics are used to identify changes to the foil and how they relate to electron stripper foil failure. These diagnostics along with COMSOL simulation allow us to equate the test stand current density to the SNS beamline and predict foil failure conditions. Sublimation of the NCD foil is of particular interest as it has been indirectly examined previously in the SNS beamline. As such, NCD foil sublimation due to interacting beam is explicitly examined in this work.

TF-Contributed On Demand-139 Surface Functionalization of Nanoporous Carbon Fibers by Vapor Phase Methods for CO2 Capture
Stephan Prünte, Gerben van Straaten (Eindhoven University of Technology); Dries van Eyck, Oscar Diaz-Morales, Jeroen van Dijck, Hans de Neve (Carbyon); Mariadriana Creatore (Eindhoven University of Technology)

The removal of excess CO2 from the atmosphere is expected to play a major role in the mitigation of global warming. While CO2 sequestration at fossil fuel power plants mostly employs wet chemical routes, CO2 sequestration from ambient air is much more challenging and there is an urgent need for high-performance sorbents. Solid-state adsorbents, consisting of CO2-binding moieties on porous supports, can deliver high CO2 capture capacities with low energy requirements.

In this contribution we report on the functionalization of porous carbon fibers by self-limiting vapor-phase techniques. Functionalization occurs either via i) ALD of Al2O3 based on trimethylaluminum and H2O, ii) exposure to a cyclic azasilane molecule (2,2-dimethoxy-1,6-diaza-2-silacyclooctane) to introduce amine functionalities or iii) ALD Al2O3 followed by exposure to the azasilane molecule. Given the high specific surface area of the carbon fibers, which exceeds 1000 m2/g with a pore size in the range of 0.5-1.2 nm, the above-mentioned precursors were dosed in multi-pulses to deliver conformal functionalization of the sample.

The self-limiting nature of the two processes was verified by weight gain measurements. The increase in weight relative to the pristine samples saturated at 11% for Al2O3 and 23% for amine functionalization, respectively. For case iii), the relative weight gain upon azasilane exposure saturated at 3.1%. XPS analysis confirmed successful Al2O3 and amine functionalization in all cases. SEM/EDX measurements of the distribution of Al and Si over the fiber cross-section revealed a homogenous modification of the fibers.

Preliminary investigations of CO2 adsorption capacities were performed by adsorption from humidified synthetic air followed by heating in an N2 stream, with desorption monitored by mass spectrometry. The largest CO2 capture capacities (0.18 mol per kg) were observed for the amine functionalized sample. This sample was able to store 0.2 mol CO2 per mol amine, similar to amine-based CO2 sorbents on silica supports earlier reported in literature1. Double functionalization, instead, lead to a somewhat lower overall capacity (0.12 mol per kg) but far superior kinetics, indicating that this approach might be valuable for compact, high-throughput CO2 capture systems.

The presented research demonstrates the potential of modifying porous carbon fibers by grafting CO2 adsorption functionalities on their internal surface. Future engineering of porous materials by self-limiting vapor-phase functionalization and tuning their gas sorption kinetics may pave the way towards realizing efficient direct air capture.

1Wurzbacher et al., Energ. Environ. Sci., 2011, 4, 3584–3592 View Supplemental Document (pdf)
TF-Contributed On Demand-142 Redox-Active Polymer Thin Films by MLD for Enhanced Electrochemical Desalination
Matthias Young, Quinton Wyatt, Ryan Gettler, Nikhila Paranamana, Tommi White, Xiaoqing He (University of Missouri-Columbia)

Capacitive deionization (CDI) is an emerging technology which shows promise for improved energy efficiency for desalination over reverse osmosis (RO). New CDI electrode material concepts aimed at increasing the specific capacitance of the electrodes and reducing diffusion losses promise to lower cost and reduce energy consumption for desalination and increase the efficiency of CDI. Supercapacitive conjugated amine polymers (CAPs), such as polypyrrole and polyaniline, are promising candidates for CDI electrode coatings which exhibit both high ion binding capacities (> 500 F/g) and high electrical conductivity (>500 S/cm). Unfortunately, conventional CAP deposition techniques, such as oxidative polymerization and electrodeposition, produce non-uniform CAP films with minimal control over film molecular structure and thickness. Here, we demonstrate the deposition of thin films of CAPs uniformly onto electrode surfaces using molecular layer deposition (MLD), and study the impact of the MLD deposition process conditions on the structure and properties of the CAP films. Specifically, we evaluate the effect of film thickness and local polymer structure on the ion uptake capacity and rate, and discuss these results in light of insights into the CAP MLD growth mechanisms. The results we report demonstrate MLD as a platform for synthesizing thin films of CAPs, which can be used to enable high efficiency desalination.

TF-Contributed On Demand-145 Smoothing Surface Roughness Using Al2O3 Atomic Layer Deposition
Tyler Myers (University of Colorado Boulder); James Throckmorton, Tukaram Hatwar, Rebecca Borrelli, Malcolm O'Sullivan (L3Harris Space & Airborne Systems); Steven George (University of Colorado Boulder)
Al2O3 atomic layer deposition (ALD) was used to smooth the roughness on silicon wafers. The initial silicon wafers were obtained prior to chemical mechanical polishing (CMP). These silicon wafers had an average RMS surface roughness of 3.3 nm as determined by atomic force microscopy (AFM) measurements. AFM line scans also measured an average lateral spacing of ~400 nm between the surface asperities. After 3000 Al2O3 ALD cycles, the RMS roughness of the wafers was reduced to 1.5 nm. Likewise, the average lateral spacing between the surface asperities increased to ~900 nm. The RMS roughness decreased and the average lateral spacing increased progressively with number of Al2O3 ALD cycles. Additional Al2O3 ALD cycles beyond 3000 cycles produced little change in the RMS roughness or average lateral spacing. The smoothing ability of the Al2O3 ALD starts to limit when the lateral distance between the surface asperities is much larger than the Al2O3 ALD film thickness. Power spectral density (PSD) analysis revealed that the ALD smoothing was most effective for surface topographical features with lateral spacings in the range of 10s to 100s of nanometers. The PSD analysis showed that most of the smoothing occurred during the first 1000 Al2O3 ALD cycles. Reflectivity studies of silver films deposited on the silicon wafers also demonstrated that Al2O3 ALD smoothing increases reflectivity by lowering reflectivity losses attributed to scattering and plasmon absorption. View Supplemental Document (pdf)
TF-Contributed On Demand-148 Sliding Properties of a-C:H Coated CFRP on a Metallic Surface
Akira Chikamoto (Meijo University Graduate School); Petros Abraha (Meijo University)
Carbon Fiber Reinforced Plastics (CFRP) consists of carbon fibers that provide strength and stiffness and a polymer matrix to protect and hold the fibers together. The surface hardness of the polymer and the wear resistance in sliding with other surfaces is significantly low due to the thermal softening of the polymer. A solution to the dual problem of controlling frictional heat and wear resistance of CFRP surface with other materials can increase the application area of CFRP in sliding parts. In this study, we investigated the sliding distance and specific wear of CFRP surface coated with hydrogenated DLC to clarify the effect of hydrogenated DLC on the sliding properties through evaluation of the PV values corresponding to friction heat and wear resistance.
Surface modification of hydrogenated DLC coating is applied by radio frequency plasma enhanced chemical vapor deposition (RF-PECVD) at low temperature processing to generate flexible DLC that can follow the expansion and contraction of polymer surfaces. Among the DLCs that can be coated by the plasma process, soft hydrogenated amorphous carbon (a-C:H) is a good candidate that can follow the elongation of the substrate. Polymer-like hydrogenated amorphous carbon with high hydrogen content (40-60at.%) and significant sp3 bonds can follow the deformation of the substrate and improve the mechanical properties. However, the sliding properties of polymer-based DLC coatings vary greatly depending on the plasma conditions such as the negative bias voltage to control the temperature rise under the glass transition temperature and maximize the PV values.
In this experiment, hydrogenated DLC coating was applied in varying the negative bias voltage and measured the sliding distance to the glass transition temperature. On the other hand, the specific wear was measured by varying the PV values. The hydrogenated DLC film coated with a negative bias voltage of 500 V showed excellent sliding characteristics. The friction heat due to the sliding distance to the glass transition temperature was longer upon increasing velocity while the specific wear rate showed better wear resistance for increasing pressure. In this presentation, we will explain the effect of hydrogenated DLC coating on sliding properties with metallic surfaces using the obtained experimental PV curves.
TF-Contributed On Demand-151 Tungsten Infiltration of CNT Forests by ALD for Micro-Scale X-Ray Collimators
Chase Vanfleet, RJ Cass, Ryan Vanfleet, Robert Davis, Richard Vanfleet (Brigham Young University)
Micro-scale collimators were fabricated using carbon nanotube templated microfabrication (CNT-M) and atomic layer deposition (ALD) of tungsten. These collimators were parallel hole arrays 400-1000 microns tall, with square channels 25-200 microns wide and walls 4 microns thick. The micro-scale patterned CNT forest growth provides a 3-dimensional template that is infiltrated with tungsten, a high-density material for X-ray absorption and attenuation. The uninfiltrated CNT forest is pretreated with ozone to increase the nucleation site density. ALD penetration into the CNT forest is limited by diffusion and adsorption, resulting in a penetration depth of approximately 15 microns. Additionally, tungsten concentration decreases from top to bottom of collimator pores, especially those of higher aspect ratios. This gradient can contribute to stresses and distortions in the collimator structure, but these effects can be addressed through template dimensions, forest height, and deposited tungsten thickness. The mechanical properties of the infiltrated forests were measured through resonant frequency and 3-point bending analyses. A Young’s modulus of 9±4 GPa was observed. Collimation performance and properties were evaluated on a Bruker Apex 3 X-ray Diffractometer using a Cu k alpha X-ray source. At high tungsten loading (100+ ALD cycles), results fit a simple geometric model for low aspect ratios. However, at high aspect ratios distortions in the collimator structure led to reduced peak X-ray throughput. At low tungsten loads (75 ALD cycles), penetration of X-rays through the collimator walls was observed.
TF-Contributed On Demand-154 Using In Situ Electrical Conductance Measurements to Study Mechanisms and Wall Effects During Vapor Phase Infiltration (VPI) Doping of Semiconducting Polymers
Kristina Malinowski, Shawn Gregory, Jamie Wooding, Yi Li, Oliver Hvidsten, Alexandra Jungreis, Mark Losego (Georgia Institute of Technology)

This talk will discuss our use of in situ electrical conductance measurements to study vapor phase infiltration (VPI) doping of polyaniline (PAni) thin films with TiCl4 + H2O. Using in situ electrical conductance measurements we can readily observe real time changes in film doping during TiCl4 infiltration, water infiltration, and purging steps. We use ex situ characterization (UV-Vis-NIR and X-ray photoelectron spectroscopies) to provide support for postulated doping processes observed with in situ electrical conductance measurements. Together these measurements allow us to propose more consistent doping mechanisms for various VPI processing conditions. We find that dosing and holding TiCl4 in a chamber where hydroxyl groups are present leads to higher-than-expected acid doping of PAni. Doping with TiCl4 alone should only lead to oxidative doping; thus, the observed acid doping must be the result of reacted byproducts from side reactions with the walls. Interestingly, electrical conductance is also observed to decrease upon the subsequent H2O dose and hold step. This result is surprising because HCl should be generated in the polymer, leading to an increase in acid doping. This opposite behavior suggest that the polymer is already fully acid doped. In an attempt to remove uncontrolled wall effects, we studied a VPI doping process in which the walls were exposed to trimethylaluminum (TMA) prior to TiCl4 dosing in an effort to passivate the hydroxyl reactive sites. Consequently, when TiCl4 is dosed, the passivated walls do not react with TiCl4 nor form HCl, so only oxidative doping occurs. The in situ electrical measurements reveal a 4 times slower increase in conductance for this passivated scheme vs the former scheme, suggesting that the uncontrolled acid doping from the wall reactions was mitigated. This reduction in acid doping was further confirmed by ex situ spectroscopic characterizations.Ultimately, we demonstrate that in situ electrical measurements can assist in the design of multi-step processes for VPI doping of semiconducting polymers.

TF-Contributed On Demand-157 Combinatorial CuXNi1-X Thin Film Catalysts for Layer Number Control in CVD Grown Graphene
Sumeer Khanna (University of Tennessee Knoxville); Michael Stanford , Ivan Vlassiouk (General Graphene Corporation ); Philip Rack (University of Tennessee, Oak Ridge National Laboratory)

In this work, we have synthesized a combinatorial library of CuxNi1-x alloy thin films via co-sputtering from Cu and Ni targets for catalysts for chemical vapor deposited graphene.The alloy morphology, composition and microstructure were characterized via scanning electron microscopy (SEM), energy dispersive x-ray spectroscopy (EDS), and x-ray diffraction (XRD), respectively. Subsequently, the CuxNi1-x alloy thin films were used to grow graphene in a CH4-Ar-H2 ambient. The underlying rationale is to adjust the CuxNi1-x alloy carbon solubility at a fixed temperature (~ 1000 oC) to control the graphene layer number as the solubility limit of carbon in Cu is approximately 75 +/- 0.5 ppm and C in Ni is 1.3 at.%.

The energy dispersive x-ray spectroscopy (EDS) analysis revealed that a continuous gradient of CuxNi1-x (25.29%<x<82.57%) was achieved across the 100 mm diameter substrate (~0.86%/mm composition gradient) for a film thickness of ~2 µm. High-resolution SEM imaging revealed the as-deposited grain size increased with increasing x and the grain shapes changed from disordered nodular structures to uniform spheres. The XRD spectra confirmed a solid solution was realized and the face centered cubic lattice varied from ~3.52 to 3.58 Å, consistent with the measured composition gradient, assuming a Vegard’s relationship. Optical microscopy and Raman analysis of the graphene layers suggest single layer growth occurs with x>68.95%, bilayer growth dominates from 47.56%<x<68.95%, and multilayer (>=3) growth occurs for x<47.56%.

In this presentation, we will overview the combinatorial thin film synthesis and CuxNi1-x as-deposited and heat-treated alloy catalyst characterization. We will overview the Raman analysis of the CVD grown graphene layers and review transmission electron microscopy (TEM) data for selected areas. Finally, we will show large area bi-layer graphene can be grown via the thin film catalyst with optimized catalyst composition.

TF-Contributed On Demand-160 Molecular Layer Deposition on Nanofiltration Supports for High Performance Desalination Membranes with Tunable Performance
Brian Welch, Olivia McIntee, Tyler Myers, Alan Greenberg, Victor Bright, Steven George (University of Colorado at Boulder)
Molecular layer deposition (MLD) can produce all-organic polymer films in a step-wise fashion with conformality and nanometer-scale thickness control. The MLD process has control over chemical composition and crosslinking and produces films with very few defects. In this work, we demonstrate that MLD is an effective method to fabricate reverse osmosis (RO) desalination membranes. The current methods of fabricating RO membranes are limited in the uniformity and thickness of the active membrane film. Desalination using RO membranes offers one of the most cost effective methods of producing fresh water. RO membranes may be produced by depositing all-organic MLD films on nanofiltration (NF) supports.
Polyamide MLD films were grown on NF270 nanofiltration supports (DuPont) using m-phenylenediamine and trimesoyl chloride as the reactants. The polyamide MLD was performed in a rotating cylinder spatial MLD reactor [1]. The polyamide MLD growth rate was 4 Å/cycle at 115C at a rotation rate of 20 RPM. This rotation rate corresponds to a reactant residence time of 0.3 s. The growth rate was measured using titanium-sputtered polymer substrates and silicon coupons on the rotating cylinder. The composite polyamide MLD films on the NF supports were then tested for their desalination performance.
Dead-end desalination measurements showed that salt rejection was improved at the cost of lower water flux with increasing MLD cycles. By selecting the number of MLD cycles, salt rejection and flux values could be adjusted to span the performance range between NF membranes and RO membranes (Supplemental Figure 1). Furthermore, composite polyamide MLD films on the NF supports fabricated with 30 or more MLD cycles out-performed commercial membranes in terms of salt rejection. Increased precursor residence times during MLD led to a densification of the polyamide layer. This densification resulted in even higher salt rejection and lower flux (Supplemental Figure 2). Performance was further evaluated by studying the effects of MLD processing temperature, terminal surface groups and desalination pressure.
[1] D. J. Higgs, J. W. DuMont, K. Sharma and S. M. George, “Spatial Molecular Layer Deposition of Polyamide Thin Films on Flexible Polymer Substrates Using a Rotating Cylinder Reactor”, J. Vac. Sci. Technol. A 36, 01A117 (2018).
View Supplemental Document (pdf)
TF-Contributed On Demand-163 Insight into Film Growth Mechanisms in Polyurea Molecular Layer Deposition Using New and Combined Precursors
Rachel Nye, Siyao Wang, Gregory Parsons (North Carolina State University)

Molecular layer deposition (MLD) has gained momentum recently for use in photolithography, memory devices, and energy storage. This organic film synthesis technique, similar to atomic layer deposition (ALD), relies on sequential, vapor-phase self-limiting reactions to deposit a thin layer of material with thickness and conformality control on the molecular scale. The broad access of MLD to organic precursors enables a wide range of film properties and structures, although the exact relationship between constituent precursors and overall film properties is not well understood. We utilize in situ analysis to explore the impact of precursor structure and growth surface on film growth behavior to improve control over film properties for increasingly demanding microelectronic device applications.

We evaluate four structural polyurea variations consisting of ethylenediamine (ED), 1,6-hexanediamine (HD), p-phenylene diisocyanate (PDIC), and 1,6-hexamethylenediisocyanate (HDIC), the latter of which is novel to the field of MLD. These films are deposited on hydroxylated SiO2 substrates between 25 and 100C. Growth rate decreases with increasing backbone flexibility, attributed to frequent site-terminating reactions from the flexible monomers. Moreover, the growth rate for these organic films is not linear, but rather decreases according to a decrease in active site density from SiO2 to the bulk deposited polymer. It is desirable to understand and predict the change in growth rate on different substrates, thus we next consider polymer depositions on various polymer substrates. Here we also observe a thickness-dependent growth regime transitioning from initial to steady growth. The more rigid aromatic films have a higher active site density than the aliphatic films, determined by the decreasing growth rate of aliphatic film deposited on an aromatic substrate as the active site density decreases from initial aromatic surface to bulk aliphatic surface (similar to on SiO2). On the other hand, aromatic films deposited on aliphatic films exhibit an initially increasing growth rate, where the active site density is increasing during the transition from aliphatic to aromatic surface. These opposing trends in active site density are attributed to differences in relative rates of site generation and site termination, which are determined by growth surface, precursors, and deposition temperature.

Furthermore, we show that this nonlinear growth can be described accurately with a simple kinetic model. This model is also applied to describe growth as a function of deposition temperature. These results are expected to facilitate deposition of new materials with increased accuracy in lithography and area selective deposition applications.

View Supplemental Document (pdf)
TF-Contributed On Demand-166 2020 AVS Dorothy M. and Earl S. Hoffman Award Talk: Sorption and Desorption of TMA During Vapor Phase Infiltration Into Polystyrene and Poly(Methyl Methacrylate) Thin Films
Emily McGuinness, Collen Leng, Mark Losego (Georgia Institute of Technology, USA)

Vapor phase infiltration (VPI) has emerged as a technique for creating hybrid organic-inorganic materials with unique properties. In VPI, vapor phase metalorganic precursors are sorbed and entrapped within the bulk of a polymeric substrate which is then co-reacted with an oxidant generating a metal oxide. To date, VPI has been used to modify industrially relevant properties including the mechanical properties of polymers, stability of polymers in organic solvents, creation of nanoscale features through copolymer patterning, and more. While the utility of VPI has been clearly demonstrated, the fundamental mechanisms of this process remain ill defined. To expedite experimental discovery and industrial application of this process, an improved understanding of the kinetics and thermodynamics of VPI is necessary. In this presentation, we will establish a new theory of metalorganic precursor sorption during VPI. Specifically, we extract fundamental kinetic and thermodynamic values from sorption-desorption curves generated with quartz crystal microgravimetry (QCM) by monitoring metalorganic precursor mass uptake as a function of time and VPI processing step. By exploring the infiltration of polystyrene with trimethylaluminum (TMA) and water, fundamental models for gas sorption in polymers can be applied to a system where no chemical reactions occur with the polymer. By applying models such as the Berens and Hopfenberg model for gas sorption into glassy polymers and Fick’s second law, diffusion and relaxation constants and behaviors of polystyrene when exposed to TMA has been quantified. Establishing these behaviors and fundamental values is key to optimizing VPI processes for specific infiltration depths while minimizing reaction times. To further investigate a system where reactions between TMA and the polymer exist, studies of poly(methyl methacrylate) [PMMA] infiltrated with TMA and water, were performed and fundamental models are applied to the system. The TMA/PMMA system exhibits a temperature dependent shift in reaction mechanism which adds complexity to the sorption-desorption process. For this method, a facilitated transport model probes how the strength of the interaction between TMA and the polymer backbone alters the diffusion of TMA throughout the polymer bulk. Overall, by experimentally validating how fundamental polymer theories can be applied to the VPI process, we establish a new framework for interrogating precursor-polymer systems in VPI that results in key thermodynamic and kinetic parameters integral to experimental design and industrial application.

TF-Contributed On Demand-169 Experimental Study of Plasma-Enhanced Atomic Layer Deposition of SiN on GeSbTe
Hamid Razavi (University of California at Los Angeles); Meihua Shen, John Hoang, Thorsten Lill (Lam Research Corporation); Jane P. Chang (University of California at Los Angeles)

Using GexSbyTez (GST) in phase-change memory (PCM) has been widely investigated as the next generation of non-volatile data storage applications due to its outstanding properties including fast programming capability, good cyclability, and power efficiency. This ternary compound can switch between its amorphous (high electrical resistivity) and crystalline (low electrical resistivity) phases in response to a temperature alteration at high speed.

One of the challenges of GST material integration is to maintain its stoichiometry throughout various processes including deposition, cleaning, and patterning. This problem originates from the intrinsic higher volatility of Ge-containing products compared to those containing Sb and Te.

In this work, Ge is selected as a model substrate for GST to assess the nucleation and growth of SiN by plasma-enhanced atomic layer deposition (PE-ALD) using Bis(t-butylamino)silane (BTBAS; [NH(C4H9)]2SiH2) as the Si precursor and atomic nitrogen generated by a 2.45 GHz coaxial waveguide microwave plasma source nitrogen as a nitridizing reactant. The relative concentration of nitrogen in the N2 plasma was measured at different plasma operating conditions using optical emission spectroscopy (OES). High-resolution spectral scanning of 740750 nm for 4P-4S0 emission lines was used to determine relative N concentration. N/Si ratio in SiN thin film can be adjusted by changing the N concentration generated by N2 plasma. The effects of substrate temperature (25 to 160oC), microwave plasma power (25 to 75 W), and the pre-deposition plasma surface treatment on the deposition of PEALD SiN was evaluated. The nucleation and the growth of deposited SiN on the substrates was studied using an in-situ x-ray photoelectron spectroscopy and ex-situ scanning electron microscopy (SEM). The nucleation of SixNy with the ratio of x:y=1:2 on Ge/GeO2 was confirmed by XPS analysis. The in-situ N2 plasma was effective for substrate cleaning by reducing C and O concentration from the native oxide layer of germanium. In addition, an increase in the deposition temperature from 25oC to 160oC resulted in a more effective reduction of C and O contaminations and making a higher quality SiN insulating layer on the GST films.
TF-Contributed On Demand-172 A Machine-Learnt Volatility Model for the Rapid Selection of Precursors for Deposition and Etch
Simon Elliott, Asela Chandrasinghe, Anand Chandrasekaran, Yuling An, Mathew Halls (Schrödinger)
Volatility is a key property for vapor-based deposition or etch processes, but one that is difficult to compute from first principles. Here we utilize a machine learning (ML) approach to train surrogate models for pressure-temperature relationships. The first step involves the curation of volatility data from experimental vapor pressure curves for over 1,000 purely organic molecules. A variety of ML algorithms (Random Forest, Neural Networks etc) are studied in conjunction with different chemoinformatic descriptors and fingerprints. The trained ML model is capable of predicting the evaporation temperatures for 1 Torr and 10 Torr partial pressure of the organic compounds with a mean error of 25 degrees C. We show how a suitable choice of descriptors enables the model to be extended to metalorganic complexes.
The utility of the ML model is illustrated on the following precursor discovery examples. We first present a screening of the volatility of OH-containing molecules for the molecular layer deposition of hybrid organic-inorganic films, where there is still much scope for introducing new volatile organic precursors. As a second example, when developing etching processes, a key criterion is that the etched product should be volatile, and we show how the ML volatility model can be combined with other chemical criteria such as safety constraints or environmental impact of the exhaust gas. The speed of evaluation of the ML model on the computer means that thousands of candidate compounds can be screened per day.
TF-Contributed On Demand-175 Gas Bubble and Blister Formation in Sputtered Thin Film Cadmium Selenide
Rachael Greenhalgh (Loughborough University); Peter Hatton, Vladimir Kornienko, Ali Abbas, Pooja Goddard, Roger Smith, Jake Bowers, Michael Walls (Loughborough University, UK)

Cadmium Telluride is the most successful thin film photovoltaic technology with manufacturing capacity steadily increasing and currently >6GW. The technology is predominantly used at utility scale for solar electricity generation.The efficiency of cadmium telluride solar cells has been improved recently by adding selenium as a CdSeTe alloy to the front of the device. Diffusion occurs and a graded band-gap absorber is formed to allow the solar cell to extend its use tohigher wavelengths thereby increasing the short circuit current density (Jsc).One way to introduce the selenium is to first deposit a layer of CdSe on to the buffer layer before depositing a CdTe layer by sublimation at the back of the cell.The two layers are then inter-diffused during the cadmium chloride activation process.Pulsed dc magnetron sputtering is an attractive method to deposit the CdSe because it provides high deposition rates, excellent thickness control and uniformity.It is also industrially scalable.Studies using RF sputtered CdSe have reported the presence of voids in the CdSeTe/CdTe devices but the reasons behind their formation have not been understood.These voids are particularly harmful if they accumulate at the junction with the n-type buffer layer. In this paper, we show that the voids are caused by the accumulation of trapped argon which formsgas bubbles during annealing and/or the cadmium chloride activation process.These bubbles can also develop into large blisters causing exfoliation of the device surface and catastrophic delamination.We have used cross-sectional TEM and EDX to characterize the voids following a sweep of the sputter-process conditions including substrate temperature, working gas pressure, target power etc….We propose a mechanism for the bubble formation based on the microstructure of the sputtered CdSe thin films.

TF-Contributed On Demand-178 Chemical Bath Deposition of CusX and SnsX on Functionalized Self-Assembled Monolayers
Tania Estrada, Amy Walker (University of Texas at Dallas)

Transition metal chalcogenides have applications including in photovoltaics, optoelectronics, and biomedical engineering. This is because these materials have a wide range of electrical properties which are dependent on their stoichiometry. In this paper we investigate the use of chemical bath deposition (CBD) to selectively deposit copper and tin sulfides on functionalized self-assembled monolayers (SAMs). CBD is an inexpensive, facile solution-based method for deposition of transition metal chalcogenides. We employ three different SAMs with -CH3, -OH and -COOH terminal groups, and vary the bath pH from pH 9 to pH 12. Using time-of-flight secondary ion mass spectrometry (TOF SIMS) and x-ray photoelectron spectroscopy (XPS), we demonstrate that the stoichiometry of the transition metal chalcogenide deposit is strongly dependent on the substrate chemistry. On -COOH terminated SAMs, Cu2S is always deposited while on -CH3 terminated SAMs CuS deposits. In contrast, on -OH terminated surfaces the CuxS deposit changes from Cu2S at pH 9 to CuS at pH 12. Similarly, our data shows that for SnSx there are also changes in the film stoichiometry. At pH 9 SnS2 is deposited on -COOH and -CH3 terminated SAMs while SnS is deposited on -OH terminated SAMs. At pH 10, the stoichiometry of the film switches; SnS deposits on -COOH and -CH3 terminated SAMs while SnS2 deposits on -OH terminated SAMs. We discuss the mechanisms of these stoichiometry changes in terms of the interaction of the chalcogenide ion and metal ion precursors with these functionalized organic substrates, and the implications for area selective deposition of these films.

TF-Contributed On Demand-181 Formation of Conformal Ge2Sb2Te5 Film by ALD and Tellurization of Ge-Sb Film for Three-Dimensional Phase-Change Random-Access Memory Applications
Kwonyoung Kim, Yewon Kim, Okhyeon Kim, Won-Jun Lee (Sejong University)

Phase-change random-access memory (PCRAM) has drawn attention as the storage class memory. Ge2Sb2Te5 is the representative chalcogenide material for PCRAM, and many studies have been reported due to its fast switching speed and high data retention. Ge2Sb2Te5 thin films deposited by sputtering have been used in commercially available cross-point type memory products. With the recent maturation of three-dimensional (3D) NAND technology, 3D PCRAM architectures have also been proposed. Thus, it is necessary to introduce atomic layer deposition (ALD) techniques to form conformal Ge2Sb2Te5 thin films instead of sputtering. Most of the reported ALD technologies use supercycles of GeTe and Sb2Te3 to prepare low-density amorphous films, resulting in a significant volume contraction due to crystallization during the subsequent thermal processes. In the previous work, we reported a high-density crystallized GeSbTe thin film prepared by ALD of Ge-Sb at a low temperature and subsequent annealing in a tellurium atmosphere. However, the previous work focused on the gapfilling of confined structures for cross-point type devices, and the composition of the thin film was Ge3Sb2Te6. In the present study, a Ge2Sb2Te5 thin film was formed by controlling the composition of the Ge-Sb film, and step coverage was improved by optimizing the annealing condition in a high aspect ratio pattern for 3D device applications. The composition of the Ge-Sb film was controllable by changing the ratio of the subcycles of ALD GeSb and ALD Sb. We investigated the composition, phase transition temperature, density, roughness, and step coverage of Ge-Sb films with different compositions before and after the tellurization annealing. The Ge2Sb2Te5 thin film with excellent step coverage was prepared.

TF-Contributed On Demand-184 About the Importance of Purge Time in Molecular Layer Deposition of Alucone Films
Hardik Jain, Paul Poodt (Holst Centre / TNO)
The deposition rate and properties of MLD films are for a large part determined by what happens during the precursor exposure. In some cases, however, the purge step is of equal importance, for example in the MLD of alucone films using trimethylaluminum (TMA) and ethylene glycol (EG). These alucone films tend to be porous in nature due to which the reactants during their exposure step not only react at the film surface but also tend to infiltrate into the film. The outgassing of the infiltrated reactant can take relatively very long thereby becoming the deposition rate limiting step. If enough purge time is not provided for the reactant to outgas, it will lead to an undesirable CVD component alongside MLD in the overall growth. We have investigated the MLD of alucone focusing on the effect of purge time of TMA on the growth kinetics. To avoid any negative impact of the CVD component on the deposition rate and the film’s properties, we have also developed a kinetic model to corelate parameters like exposure times, partial pressures, purge times and deposition temperature to the CVD component in the growth. Finally, we show that using a bulkier precursor like DMAI instead of TMA can overcome the problem of precursor infiltration and increase the deposition rate of alucone processes by at least an order of magnitude View Supplemental Document (pdf)
TF-Contributed On Demand-187 Nucleation Behavior in Laminate ALD Film Stacks
Konner E. K. Holden, Arturo Valdivia, John F. Conley, Jr., Shane Witsell (Oregon State University)

Nucleation in ALD determines how many cycles it takes to initiate growth and form a continuous film, and can impact bulk film and growth properties.1,2 Nucleation has been exploited for the formation of nanoparticles, catalysts, and more recently for area-selective ALD.3,4 Although sometimes overlooked or even misrepresented in ALD reports,5 nucleation is also critical for multicomponent ALD and laminate ALD for ultra-thin metal/insulator/insulator/metal diodes.6,7 Here we demonstrate two types of nucleation behavior in ALD laminate films of Al2O3 on Nb2O5 and Nb2O5 on ZnO.

ALD was performed at 250 °C in a Picosun SUNALE R-150B using H2O with TMA, niobium ethoxide, or DEZ for Al2O3, Nb2O5, or ZnO, respectively. Thickness of Al2O3 on Nb2O5 and Nb2O5 on ZnO was measured using TEM and VASE, respectively.

GPC and nucleation delay of Al2O3 on six thicknesses of Nb2O5 was determined from plots of thickness vs. cycles. The nucleation delay of Al2O3 on bare Si is ~0 cycles due to an abundance of surface hydroxyl groups with which the methyl groups readily undergo exchange reactions.1 Al2O3 nucleation delay is increased to 10 cycles for a single cycle of underlying Nb2O5, decreasing to ~2 cycles for 20 or more cycles of Nb2O5 (Fig. 1). One possible explanation for greater inhibition of nucleation by thinner Nb2O5 films may be a partial removal of surface hydroxyl groups. The 1-cycle Nb2O5 film is not continuous (as seen by a faint layer in hi-res TEM) and therefore nucleation is not entirely on a pure Nb2O5 surface. Increasing the number of underlying Nb2O5 cycles eventually forms a continuous surface and the Al2O3 nucleation delay decreases and finally saturates.

Nb2O5 growth vs. cycles extrapolates to negative cycles for bare Si, indicating "enhanced" nucleation. On 4 and 25 nm of ZnO, nucleation delays are ~0 and ~80 cycles, respectively (Fig. 2). One likely explanation is the ZnO film morphology. For the 4 nm ZnO (27 cycles), crystal nuclei have not completely coalesced, forming a discontinuous arrangement of ZnO nano-islands, as is well-known for the DEZ/H2O process.2 For the 25 nm ZnO film (167 cycles), a more continuous ZnO surface results in increased Nb2O5 nucleation delay and reduced GPC.

These examples of inhibited and enhanced nucleation emphasize the importance of understanding the early stages of ALD for laminate and multicomponent films. Additional results on other systems will be presented including impact of temperature.

1 Puurunen et al. JAP 97, 121301 (2005)

2 Baji et al., Cryst. Growth. Des. 12, 5615 (2012)

3 O'Neill et al., ACS Catalysis 5, 1804 (2015)

4 Parsons, JVSTA 37, 020911 (2019)

5 Sønsteby et al., JVSTA 38, 020804 (2020)

6 Mackus et al. Chem. Mat. 31, 1142 (2019)

7 Alimardani and Conley, APL 102, 143501 (2013)

View Supplemental Document (pdf)
TF-Contributed On Demand-190 Flexible Low-K SiCOH Thin Films Deposited by Plasma Enhanced Chemical Vapor Deposition of Tetrakis(Trimethylsilyloxy)Silane Precursor
William Wirth, SeonHee Jang, Jacob Comeaux (University of Louisiana at Lafayette)

The semiconductor industry has used an inter-metal dielectric (IMD) material as an interconnect in integrated circuit (IC) chips. In advanced interconnects, the resistance-capacitance (RC) delay is becoming the main obstacle for the downscaling in the chips. To reduce this delay, low dielectric (low-k, k<3.5) materials have been used in place of traditional silicon oxide (SiO2 with k≈4.2) while aluminum (Al) has been replaced by copper (Cu) as the metal lines with lower resistivity. On the other hand, there is an increasing interest in flexible materials, which can be used in flexible electronics including flexible displays and lightweight wearable devices. It is important to study the electrical and mechanical stability of the materials for the integration of flexible electronic devices. This study investigated the effect of repeated bending on structural, electrical, and mechanical properties of low-k SiCOH thin films. The SiCOH films were deposited onto ITO/PEN (indium tin oxide on polyethylene naphthalate) substrates by plasma enhanced chemical vapor deposition of tetrakis(trimethylsilyloxy)silane precursor. Deposition parameters varied the RF plasma power from 20 to 100 W at 13.56 MHz. Ar was used as a carrier gas with a flow rate of 18 sccm. Operating pressure was held at 26.7 Pa for the 20 minute deposition. The films were subjected by bending test with up to 10000 bending cycles. Mechanical characterization was performed by nanoindentation testing for the elastic modulus and hardness. Chemical bonds were characterized by Fourier transform infrared (FTIR) spectroscopy, and the atomic concentration was measured by X-ray photoelectron spectroscopy (XPS). The electrical properties including dielectric constant and leakage current were measured by capacitance-voltage (C-V) and current-voltage (I-V) curves, respectively. The prominent chemical peaks of C-Hx, Si-CH3, Si-O-Si, and Si-(CH3)x were identified for as-deposited films from the analysis of FTIR spectra. The changes in their peak intensities and peak area fractions were observed after bending test and related to the electrical and mechanical performance.

TF-Contributed On Demand-196 Investigating the Phase Separation Dynamics and Magneto-Plasmonic Properties in AuXCo1-X Films and Nanoparticles for Magnetically Enhanced Photothermal Cancer Therapies
Reece Emery, David Garfinkel, Grace Pakeltis, Nan Tang, Dustin Gilbert (University of Tennessee Knoxville); Philip Rack (University of Tennessee, Oak Ridge National Laboratory)

AuxCo1-x thin films with a composition range from ~ 0.8<x<0.2 were synthesized via a combinatorial co-sputtering process from elemental Au and Co targets. The as-deposited films were nanogranular supersaturated solid solutions and various thermal annealing treatments were used to control the phase separation lengthscale in the films. Additionally, sub-20 nm thin films were exposed to ~ 20 ns KrF laser pulses to realize liquid phase dewetting and thus produced nanoparticle arrays. Ellipsometry, optical transmission, and low-loss electron energy loss spectroscopy were exploited to determine the system’s optical properties, while the magnetic properties were measured using a vibrating-sample magnetometer. Energy dispersive x-ray spectroscopy, along with electron, and X-ray diffraction were utilized to correlate the magneto-plasmonic behavior with composition and crystal structure, respectively. Finally, laser system mounted onto a scanning transmission electron microscope was used to photothermally heat the AuxCo1-x films and observe the phase separation in situ. Photo excited electron energy gain spectroscopy was also used to characterize the surface plasmons of the phase separated materials. The magneto-plasmonic bifunctionality of these materials have applications in ultrasensitive biosensing, and biomedical applications such as magnetically enhanced photothermal cancer therapies.

TF-Contributed On Demand-199 Structural and Magnetic Properties of NCuZn Ferrite Films Prepared by Sputtering
Roni Paul, Jaber Abu-Qahouq, Sushma Kotru (The University of Alabama)
Ferrite films are used in high-frequency applications due to their low conductivity and lower eddy current losses as compared to metal alloy films. In this work, thin films of Ni0.35Cu0.2Zn0.45Fe2O4 (NCZF) were deposited on Si substrate using sputtering method at three different substrate temperatures of 25⁰C, 150⁰C, and 300⁰C. The as grown films were annealed at various temperatures (500-800⁰C) using rapid thermal annealing (RTA). The structural and magnetic properties were studied as a function of annealing temperature using X-ray diffraction and vibrating scanning magnetometer. A field emission scanning electron microscope was used to obtain the film thickness and morphology. The films deposited at 300⁰C and annealed at 800⁰C showed the maximum value of magnetization. The results will be presented.
TF-Contributed On Demand-202 Adaptation of Environmentally-Optimized Structural Factors from White Beetle Scales for Enhancing Light Scattering in Synthetic Fibrous Films
Bokyung Park, Sang Eon Han, Sang Han (University of New Mexico); In Chul Um (Kyungpook National University)

Title: Adaptation of Environmentally-Optimized Structural Factors from White Beetle Scales for Enhancing Light Scattering in Synthetic Fibrous Films

Authors: Bo Kyung Park, In Chul Um, Sang M. Han, and Sang Eon Han,*,†

Department of Chemical and Biological Engineering, University of New Mexico, Albuquerque, New Mexico 87131, United States

Department of Bio-fibers and Materials Science, Kyungpook National University, Daegu 41566, Republic of Korea

Keywords: Light scattering, White Beetle, Anisotropic Structure, Electrospinning

We demonstrate that environmentally optimized structural factors in Cyphochilus white beetle scales can be exploited to enhance light scattering strength in synthetic materials. Living organisms in nature have evolved over millions of years to adapt to the environment. The white beetle scale structure is an example of such evolution to achieve exceptionally strong broadband scattering strength, which is an order of magnitude greater than common white papers. The key to such strong scattering is an anisotropic nanoscale fibrillar network structure. While various studies were conducted to understand the structure-property relationship, a model is yet to be developed to capture the underlying physics of white beetle scale’s light scattering and translate the understanding to manufacturable man-made structures such as electrospun fibers. Here, we develop a cylinder model to explain light scattering in the complicated fibrillar structure and to determine how closely electrospun structures can approach the scattering characteristics of beetle scales. The cylinder model is used to find optimal values for light scattering and validated by experimental results. By electrospinning, we create nanofibrous films, in which the fibers are randomly oriented in the lateral plane like the internal structure of white beetle scales. We then manipulate structural factors (e.g., fill fraction and fibril diameter) by adjusting electrospin process parameters. We discover that the cylinder model well represents the white beetle scale structure and that the scattering strength in electrospun structures can be enhanced by almost a factor of four by adjusting their structural factors. These findings point to the important role of the structural factors in light scattering optimization and elucidate the underlying physics of the efficient light scattering in white beetle scales. Our work suggests that artificial polymeric nanofibers can be used to achieve exceptionally strong broadband light scattering instead of inorganic white enhancers, such as photonic glasses, in various areas of optical engineering.

TF-Contributed On Demand-205 Halogenated Polymer Thin Film with Ultra-High Refractive Index
Ni Huo, Wyatt Tenhaeff (University of Rochester)
High refractive index polymers (HRIP) are an incredibly important class of materials given their potential applications in optoelectronic devices, such as anti-reflective components for displays, encapsulants for light-emitting diodes, and optical sensors. Because typical commodity polymers possess refractive indexes (RI) in the range 1.3 – 1.7, obtaining polymers with RI greater than 1.8 while maintaining high optical transparency is an important challenge. Halogen atoms such as chlorine, bromine, and iodine have high atomic refractivity ranging from 5.97 to 13.90, making them useful components in the development of HRIPs.

In this work, a series of halogenated polymer thin films with high refractive indexes (n =1.7 - 2.0) and outstanding optical transparency in the visible range, were prepared via a unique vapor phase process – initiated chemical vapor deposition (iCVD). iCVD is a versatile technique that has been used to fabricate a wide range of polymer coatings for many applications. In this study, poly(4‐vinylpyridine) (P4VP) films with thickness ranging from 100nm to 1000nm were prepared using iCVD, then treated by halogen vapor to form halogen-containing polymer thin films with high RI. The charge-transfer complex (CTC) formed between P4VP and halogen compounds, prepared by a simple vapor phase infiltration of halogen compounds, increases the RI of the P4VP thin film from 1.58 to 2.0 or higher, while maintaining the conformal and smooth nature of as-deposited thin films. Specifically, the P4VP-I2 complex is demonstrated to have an RI of 2.0 and is transparent above a wavelength of 600nm. In another formulation, P4VP complexed with ICl achieved an RI up to 1.77, while still retaining the outstanding optical transparency throughout visible range. The RI of the halogenated polymer films can be further fine-tuned by controlling the concentration of CTC in the polymer film via copolymerization with other monomers that are inert to halogen compounds. In this work, a series P4VP thin films copolymerized with ethylene glycol dimethacrylate (EGDMA) with RI ranging from 1.50 to 1.98 were prepared.

The formation of charge-transfer complex (CTC) in halogenated polymer thin film was confirmed via both Fourier-transform infrared and UV-Vis spectroscopies. The optical performance of these coatings was characterized by spectroscopic ellipsometer and specular reflectometry. The thermal resistance and environmental stability of these films were also investigated as a function of temperature. The refractive index (RI) of P4VP-I2 film decreased by 16% after 96 hours at 30°C. In comparison, the RI of P4VP-ICl film decreased by 0.56% over 96 hours at 30°C. These halogenated polymer thin films are expected to have wide applications in sensors and optoelectronic devices.

TF-Contributed On Demand-208 Epitaxial Growth of Chalcogenide Perovskite Thin Films by MBE
Ida Sadeghi, Kevin Ye, Michael Xu, James LeBeau, Rafael Jaramillo (MIT)

Chemical intuition, first-principles calculations, and recent experimental results suggest that chalcogenide perovskites are an outstanding class of semiconductors. Chalcogenide perovskites feature the large dielectric response familiar in oxide perovskites, but also have band gap in the VIS-IR and strong light absorption [1]. Preliminary results suggest that chalcogenide perovskites feature excellent excited-state charge transport properties familiar in halide perovskites, while also being thermally-stable and comprised of abundant and non-toxic elements. Nearly all experimental results on chalcogenide perovskites to-date were obtained on powder samples and microscopic single crystals; thin film synthesis is in its infancy. The history of complex oxides teaches that advances in understanding and applications will hinge on the availability of high-quality thin films, and that the best film quality and control is achieved by molecular beam epitaxy (MBE).

Here we report the first epitaxial synthesis of chalcogenide perovskite thin films by MBE: BaZrS3 films on LaAlO3 substrates. The composition is confirmed by scanning transmission electron microscopy (STEM): films are stoichiometric and oxygen-free, with an atomically-abrupt substrate/film (oxide/sulfide) interface. The perovskite phase is confirmed by STEM, X-ray diffraction (XRD), and Raman spectroscopy. The films are atomically-smooth over large areas, as evidenced by reflection high-energy electron diffraction (RHEED) measuredduring growth and by atomic-force microscopy (AFM). XRD and STEM reveal two, competing epitaxial growth mechanisms: rotated-cube-on-cube growth with direct bonding, and strain-relaxed growth with a self-assembled buffer layer. Evidence for direct rotated-cube-on-cube growth disappears upon increasing the hydrogen sulfide (H2S) gas supply during growth. The film color, spectroscopic ellipsometry, and photoluminescence spectroscopy demonstrate that the films have strong light absorption with direct band gap near 1.8 eV.

This work sets the stage for developing chalcogenide perovskites as a family of semiconductor alloys with properties that can be tuned with strain and composition in high-quality epitaxial thin films, as has been long-established for other semiconductor materials, while also displaying rich solid-state chemistry and physics familiar in complex oxides. Our methods also represent a revival of gas-source chalcogenide MBE, with potential for impact on research on chalcogenide perovskites and other sulfur- and selenium-containing compounds.

[1] R. Jaramillo, J. Ravichandran, APL Materials 7(10) (2019) 100902.

TF-Contributed On Demand-211 Study the Structural, Optical, Electro-Thermal Properties of Oxygen Dependent Growth Ga Doped ZnO Thin Films for Transparent Heater Applications
Jasmine Beckford, Makhes Behera, Sangram Pradhan, Messaoud Bahoura (Norfolk State University)

Thin film transparent heaters (TFTH) are gaining popularity in optoelectronics and a variety of domestic applications, including smart windows, car defrosters, and other devices. In this work, conformal growth and characterization of smooth and high quality TFTH made of gallium doped zinc oxide (GZO) are presented in this using physical vapor deposition. We employed high vacuum Pulsed laser deposition (PLD) technique was used to deposit GZO thin films on glass substrate at different oxygen partial pressure of the growth chamber ranging from 0-10mTorr.Ga doped ZnO in the composition of Ga0.07Zn0.93O target was prepared in the laboratory using Ga2O3 and ZnO as raw powders through conventional solid solution route. Atomic force microscopy reveals that the films are very good quality with smooth surface roughness <2nm.Temperature dependent resistivity measurements were carried out using linear four probes methods, and the sample demonstrated low sheet resistance values of 1.8 x 10^-3 Ω.cm.Uv-Vis spectra proved thatthe films showed high optical transparence value of (>85%). GZO transparency thin film heaters demonstrated a consistent and repeatable Joule heating effect, with temperatures reaching 60°C with a low input voltage of 8V and 130oC at 12 V.This research funding could guide future use of GZO as a transparent conducting oxide material for applications in low-cost optoelectronics, as well as lightweight and wearable electronic applications.

TF-Contributed On Demand-214 Molybdenum Cobalt Sulfide Thin Films for Water Splitting Applications
Lee Kendall, Giovanni Zangari , Stephen McDonnell (University of Virginia)

With the increase in the global consumption of energy, the need to meet the growing energy demands has put significant pressure on the current means of energy production.To meet this demand, water splitting has seen substantial efforts in developing catalytically active materials that replace costly materials, such as Pt, to allow for economically viable implementations.MoS2 has attracted significant attention over the past decade due to its low cost and availability. Additionally, MoS2 is one of the most promising materials for electrocatalytic hydrogen evolution as its Gibbs free energy of hydrogen adsorption is the closest to Pt, a state-of-the-art catalyst, when compared to other metallic materials. However, due to the limited number of accessible edge sites, as well as high kinetic barriers for H2 evolution, the catalytic performance of MoS2 is still far from the needed requirements. To address these issues, this effort will focus on the electrodeposition of Mo1-xCoxS2 films. Co has been predicted to increase the catalytic activity of MoS2 due to Co effectively decreasing the unoccupied d orbitals of Mo, increasing the electron density around Mo, and thereby enhancing the H2/O2 generation kinetics.Additionally, the Co stabilizes the film by raising the oxygen dissociation barrier and preventing the formation of insulative MoO3 clusters. We will report on an investigation in the electrodeposition and characterization of varying transition metal concentrations in Mo1-xCoxS2 and its effect on the physical, electronic, and catalytic properties towards the hydrogen evolution reaction. Through electrodepositing catalytically active Mo1-xCoxS2 thin films, this effort demonstrates improved water splitting efficiency over current, low-cost materials.

Session Abstract Book
(635KB, Oct 26, 2021)
Time Period OnDemand Sessions | Topic TF Sessions | Time Periods | Topics | AVS 67 Schedule