ALD/ALE 2022 Session AF-MoP: ALD Fundamentals Poster Session

Monday, June 27, 2022 5:45 PM in Room Arteveldeforum & Pedro de Gante

Monday Afternoon

Session Abstract Book
(686KB, May 7, 2022)
Time Period MoP Sessions | Topic AF Sessions | Time Periods | Topics | ALD/ALE 2022 Schedule

AF-MoP-1 Unearthing Ruthenium Diazadienyls as Precursors for the ALD of Ru Thin Films: Precursor Assessment and ALD Process Development
David Zanders, Jorit Obenlüneschloss (Ruhr University Bochum); Michael Gock (Heraeus ); Anjana Devi (Ruhr University Bochum)

Ruthenium thin films are garnering significant interest as next-generation interconnects to replace Cu in future nanoelectronic devices. Especially in the back end of line (BEOL) and middle of line (MOL), ongoing scale down towards 2 nm and beyond has motivated alternative metallization approaches such as semi-damascene in which Ru outperforms Cu and Co notably.[1,2]One deposition technique most suitable to provide thin films for challenging interconnect device architectures is ALD.[3] A paramount factor in each ALD process is the choice of precursors and their chemistry that governs layer formation and material quality. A review of the current ALD processes for Ru thin films shows that a considerable number of often closely related precursors with their individual advantages and drawbacks have been employed.[4] Hitherto, none of them could fully satisfy academic and industrial demands alike.

This presentation describes the synthesis and detailed characterization of an alternative Ru precursor class: Ru diazadienyl cymenes [Ru(DAD)(Cym)] and their potential for CVD and ALD applications. Two examples, Ru(tBuDAD)(Cym) and Ru(iPrDAD)(Cym) (Figure 1) were obtained in high yields in a one-pot synthesis and thoroughly assessed in terms of purity (NMR, EA) and structure (SC-XRD). The structures were reproduced by DFT studies and subjected to bond dissociation analysis which rendered them appreciably stable. Thermal stability upon evaporation was evaluated in more detail alongside overall evaporation behaviour in thermogravimetric analyses (TGA) (Figure 2). Vapor pressures of the two complexes were derived and compared to the most often used Ru(EtCp)2. Especially Ru(iPrDAD)(Cym) showed appreciable volatilization and deemed competitive towards the reference compounds.

Thus, Ru(iPrDAD)(Cym) was employed as precursor in a PEALD process with O2 plasma. Preliminary results demonstrated the growth of thin, pinhole-free, low roughness films on Si(100) at temperatures as low as 120 °C (Figure 3). A full process study investigating typical ALD growth characteristics in terms of saturation, temperature dependency of film growth and thickness scalability was carried out to assess the ALD behaviour. Complementarily, AFM, RBS/NRA and XPS as well as resistivity measurements were performed.

[1]G. Murdoch, et al., in 2020 IEEE IITC 1052020, p. 4.

[2]https://www.imec-int.com/en/press/imec-presents-alternative-metals-advanced-interconnect-and-contact-schemes-path-2nm.

[3]M.-J. Li, et al., in 2021 IEEE IITC 762021, p. 1.

[4]https://www.atomiclimits.com/alddatabase/. View Supplemental Document (pdf)
AF-MoP-2 Towards Greener ALD Processes: The Precursor Chemistry Is Key
Matthieu Weber, Octavio Graniel, David Muñoz-Rojas (LMGP - Grenoble INP); Nils Boysen, Anjana Devi (Ruhr Universität Bochum)

ALD is a versatile and emerging technology, allowing for the precise coating of challenging substrates with a nanometer control over thickness. Due to its unique assets, a substantial growth of the ALD market is expected. In the current context of moving towards greener processes, the ALD technique offers the potential to become greener and to tackle environmental challenges. Indeed, the process itself has currently a consequent impact on the environment which should ideally be reduced as the technique is implemented in a wider range of products and applications. Based on a literature overview, our findings show that the duration of the process, the temperature used, and the precursor chemistry are key factors affecting the environmental impact of ALD. The principles of green chemistry are discussed considering the specificities of the ALD process, and different ways to reduce the impact are proposed, in particular the optimization of the processing parameters, the use of spatial ALD (SALD) and the chemical design of greener precursors are shown as efficient routes to lower the ALD environmental impact and improve its sustainability.

AF-MoP-3 An IR Spectroscopy Study of the Degradation of Surface Bound Azido-Groups in High Vacuum
Sofie S. T. Vandenbroucke, Mikko Nisula, Robin Petit (Ghent University); Rita Vos, Karolien Jans, Philippe M. Vereecken (IMEC); Jolien Dendooven, Christophe Detavernier (Ghent University)

‘Click chemistry’ encompasses a set of powerful chemical reactions that have a high yield, are highly selective and specific, proceed under simple reaction conditions, use readily available starting materials, create stable products and harmless by-products.[Kolb, H.C., et al. (2001) Ang. Chem. Int.] The azide-alkyne cycloaddition reaction is considered the cream of the crop of the click reactions. Hence, surface functionalization by azido-moieties is often desired for applications in drug discovery, polymer chemistry, materials engineering and biosensor devices. [Lahann J. (2009) Click chemistry for biotechnology and materials science] This can be achieved by depositing self-assembled monolayers using azido-containing organosilanes which typically involves liquid-phase protocols. The use of vapour-phase chemistry might be beneficial regarding integration into a high-throughput production sequence and the deposition of high-quality and reproducible coatings on 3D microstructures. [Vos, R., et al. (2018) Langmuir] Because vapour-chemistry typically involves the use of high vacuum equipment, the stability of the azido-groups in high vacuum is of utmost importance.

In this work,[Vandenbroucke S.S.T. (2021) Langmuir] the stability of azido-containing self-assembled monolayers is monitored in real-time using in situ reflection FTIR at a temperature of 150 °C and a pressure of 1E-5 mbar for 8 h. The data in Figure 1 displays a clear decrease of the asymmetric azide stretching vibration at 2105 cm-1 over time in high vacuum, suggesting the degradation of the azido-groups. The degradation is further investigated at three different temperatures and seven different nitrogen partial pressures using ex situ ATR-FTIR. The degradation is found to increase at higher temperatures and lower nitrogen pressures. This is in accordance with the theory that the degradation reaction involves the decomposition into molecular nitrogen and the formation of a highly reactive nitrene.

Many applications in the semiconductor industry require a perfect control over the surface chemistry down to the nanometre level to yield reproducible results. For the condition with the most degradation only 63% of azides are found to remain at the surface after 8 h in high vacuum. This would imply a significant loss in control over the exact surface chemistry. One should therefore always consider the stability of functional groups such as azides when depositing or post-processing functional coatings in high vacuum.

View Supplemental Document (pdf)
AF-MoP-4 Nucleation of AlOx on ZrOx and ZrOx on AlOx studied by Low Energy Ion Scattering
Jan Tröger (Tascon GmbH); Mikko Näsi, Tom Blomberg (Picosun Oy); Rik ter Veen (Tascon GmbH)

Nucleation is a crucial step in the formation of ALD films. It determines the formation of the first atomic layer of film. Proper nucleation is needed to deposit closed films with a homogeneous thickness. Since the nucleation deals with the formation of the first atomic layer of film, a very surface specific tool is needed to study nucleation: It should be able to distinguish between a closed monolayer and a half-closed double layer.

For common other techniques, such as XRF or XRR, it is not possibly to make this distinction due to a lack of surface specificity. Low Energy Ion Scattering (LEIS), on the other hand, is specific to the very first atomic layer, enabling it to determine the closure of the film (surface fractions of film and substrate), rather than the overall number of atoms per unit area that XRF or XRR provide.

We will demonstrate how LEIS works for the nucleation of AlOx films on ZrOx and the ZrOx films on AlOx. We show the normalized LEIS peak areas for Al and Zr as a function of cycle number for both depositions. The results show that in both cases, the films are almost closed after 20 cycles. In addition, we will present and discuss the following two features: In the deposition of ZrOx on AlOx, the peak area for Zr “overshoots”. This indicates that the initial deposition happens with a stoichiometry that Zr-rich compared to ZrO2 (and has some similarity to that of the Al2O3 substrate). In the case of the deposition of AlOx on ZrOx, the peak area for Al “undershoots”, indicating that the initial deposition proceeds with an Al-poor stoichiometry compared to that of Al2O3 (with some similarity to that of the ZrO2 substrate).

View Supplemental Document (pdf)
AF-MoP-6 Microstructure and Electrical Properties of Conductive Nitride Films Grown by Plasma Enhanced Atomic Layer Deposition with Considerable Ion Bombardment
Igor Krylov (Tower Semiconductor Ltd.); Valentina Korchnoy, Xianbin Xu, Kamira Weinfeld, Eilam Yalon, Dan Ritter, Moshe Eizenberg (Technion - Israel Institute of Technology)

We investigated electrical properties and structure of conductive nitrides (TiNx, ZrNx, TaNx, HfNx) deposited by the PEALD process from amide precursors and H2/Ar plasma. Ion bombardment introduces additional energy to the growing film promoting chemical reactions and film densification. In PEALD process involving H2/Ar plasma, most of bombardment effects are related to heavier Ar ions which are responsible for obtaining highly crystalline nitride films. Ion energy depends on the pressure inside ALD reactor during plasma half-cycle. Low pressure is favorable for deposition of highly conductive nitrides. The deposited nitrides have different grain size, carbon contamination, and variation of the film density from theoretical value despite same process conditions. Films crystallinity was investigated by the HAADF-STEM (Fig.1). The deposited nitride films differ in film morphology. TiNx films consist of large columnar grains elongated through the whole film thickness. HfNx films has columnar-like grains with smaller grain size compared to TiNx. ZrNx and TaNx films consist of small grains. Significant ion bombardment reached in the process results in the growth of (111)-oriented polycrystalline films with low O contamination (Table1). The films are polycrystalline (Fig.2) despite the significant carbon content. Crystallization is stimulated by the ion bombardment effect achieved by the lowing plasma pressure. Carbon contamination correlates with the level of C-content in the precursor molecule.

Electrical resistivity of deposited nitrides is summarized in Fig.3,a. Despite near similar bulk resistivity of TiN, ZrN, and HfN, a significant difference in resistivity was found in the deposited films. Among the investigated films, TiNx has the highest grain size and lowest resistivity. Density of the deposited nitrides was measured by the XRR. Deviation of the film density from its theoretical values represents the degree of crystallinity at a given film and follows the resistivity trend. Higher deviation indicates the smaller grain size resulting in higher electron scattering at grain boundaries. Film density can serve as a good qualitative parameter predicting the resistivity of transition metal nitride deposited by PEALD. Positive TCR values (metallic) were obtained for TiNx and ZrNx films, whereas the deposited TaNx and HfNx films have negative TCR values (semiconducting) (Fig.3,b). Negative TCR values are attributed to the presence of nitrogen-rich phases, MNx > 1 in these films. Among the investigated films, TiNx films have the highest grain size and lowest resistivity. Therefore, TiNx is proposed as the leading PEALD nitride for conductive applications. View Supplemental Document (pdf)
AF-MoP-8 Simulation of Conformality of ALD Growth Inside Lateral Channels: Comparison between a Diffusion-Reaction Model and a Ballistic Model
Jorge Velasco, Jänis Järvilehto, Jihong Yim, Emma Verkama, Riikka Puurunen (Aalto University, Finland)

A number of models have been developed for simulating the conformality of atomic layer deposition processes.1 Simulation models can be used, for example, for the optimization of process parameters towards improved conformality, and for the extraction of kinetic-related information through fitting the model parameters to experimental data.2,3

Three fundamentally different simulation approaches have been described in the literature to simulate the conformality of ALD processes: diffusion-reaction, ballistic, and Monte Carlo models.1,5,6 In diffusion-reaction models, transport inside a feature is described by a diffusion equation that includes an adsorption loss term.1,6 Ballistic models describe particle transport in the molecular flow regime and are based on a balance of particles to compute fluxes at different locations inside a feature.5 In Monte Carlo models, the path of each particle at a time is simulated.1

In this work, we compared the conformality of ALD growth inside lateral channels predicted by two fundamentally different models: a diffusion-reaction model (Model A)6,7 and a ballistic model (Model B).5,8 The effect of different operating conditions on the conformality of ALD is studied in the free molecular flow regime.

For all the parameters studied, the main trends of the obtained saturation profiles were similar for both models (Fig. 1 of the supp. info). However, penetration depth at half-coverage predicted by the ballistic model was greater than that predicted by the diffusion-reaction model while the predicted slope at half-coverage was greater with the diffusion-reaction model (Fig. 2 of the supp. info). The ballistic model predicted a sudden increment of coverage when the profile reaches the end of the channel. The reasons and consequences of the differences will be discussed.

This work was supported by the Academy of Finland (ALDI consortium, grant No. 331082). An earlier version of this work was presented at the 21st Int. Conf. on Atomic Layer Deposition, ALD 2021.

References

1. V. Cremers et al., Appl. Phys. Rev., 2019, 6, 021302.

2. K. Arts et al., J. Vac. Sci. Technol. A, 2019, 37, 030908.

3. J.R. van Ommen, A. Goulas, and R.L. Puurunen. Atomic Layer Deposition. In Kirk-Othmer Encycl. Chem. Technol., John Wiley & Sons, Inc., 2022.

4. A. Yanguas-Gil and J.W. Elam, Chem. Vap. Deposition, 2012, 18, 46.

5. A. Yanguas-Gil and J.W. Elam, Theor. Chem. Acc., 2014, 133, 1465.

6. J. Yim and E. Verkama et al., submitted. Preprint DOI:10.33774/chemrxiv-2021-2j4n1

7. M. Ylilammi, O. Ylivaara, and R.L. Puurunen, J. Appl. Phys., 2018, 123, 205301.

8. Machball software, available at: https://github.com/aldsim/machball (accessed: Jan. 25, 2022).

View Supplemental Document (pdf)
AF-MoP-10 Volatile Adducts of Cobalt and Nickel Halides
Timo Hatanpää (University of Helsinki); Anton Vihervaara, Mikko Ritala (University of Helsinki, Finland)

Volatile metal halides in general are good ALD precursors as they are simple, cheap, readily available, thermally stable, reactive with many non-metal precursors and small in size allowing good growth rates. Unfortunately, halides of many metals are not volatile or they possess only low volatility. Many of such metal halides can, however, be rendered more volatile by adding proper adduct ligands to the metal coordination sphere.

Earlier we have introduced diamine adducts of cobalt and nickel to ALD. While pure halides of Co and Ni have polymeric solid-state structures, high melting point and low volatility, the diamine adducts have monomeric structure and volatility that is among average for known Co and Ni precursors. Under reduced pressure these compounds sublime intact and have sufficiently large temperature window between the sublimation and decomposition temperatures. These compounds have also been shown to perform well in ALD.[1]

For metal films low deposition temperatures are desired to minimize agglomeration and thereby obtain smoother films that are continuous at lower thickness. High volatility of the metal precursor is therefore needed. Here more adducts of the transition metal halides, especially phosphine adducts, were synthesized and studied.

While diphosphine adducts of Co and Ni are thermally exceptionally stable, their volatility is low. In contrast, monophosphine adducts of the same are highly volatile but the thermal stabilities are low. For example NiCl2(PEt3)2 sublimes already at 80 °C under vacuum, but signs of thermal decomposition are also seen already around 130 °C. The temperature window is still large enough to allow ALD usage as demonstrated by Ni metal film deposition using this precursor (another ALD2022 presentation).[2] Monophosphine adducts of Co have lower volatility than the Ni counterparts so that the temperature window between efficient evaporation and thermal decomposition is narrower.

In this presentation the thermal properties of cobalt and nickel halides with different neutral ligands including amines and phosphines are presented and compared. Among other things, it has been found that the thermal stability mainly increases from chlorides to iodides and the trend seems to be more pronounced with cobalt. The increasing molecular weight from chlorides to iodides causes only small decrease in volatility.

[1] K. Väyrynen (2019), Academic Dissertation, University of Helsinki, http://ethesis.helsinki.fi

[2] A. Vihervaara, T. Hatanpää, M. Ritala, ALD2022 abstract (to be published).

AF-MoP-12 On the Underestimation of the Low-Temperature Limit for ALD of MoOx from Mo(CO)6 Precursor
Kees van der Zouw, Tom Aarnink, Alexey Kovalgin (University of Twente, Netherlands)

Dependencies of the growth rate per cycle (GPC) as a function of the temperature (T), and the so-called precursor saturation curves, are standardly observed for atomic layer deposition (ALD) and reflect its self-limiting nature. Such curves are considered as an indication of the ALD window and required to demonstrate the occurrence of ALD at various circumstances. Plotting GPC versus T is expected to be system independent, meaning that the fundamental features of the GPC curve should be similar between one reactor system and another. It looks feasible to underestimate the limits of the ALD window if there is no universal method to determine the GPC. Based on our experimental findings, we propose an underestimation of the ALD temperature window to occur in the literature study on the (self-limiting) chemisorption of Mo(CO)6 while depositing molybdenum oxide (MoOx) and molybdenum oxide compounds.

According to the literature, the use of Mo(CO)6 as precursor and O3 or O2-plasma as co-reactant results in the self-limiting chemisorption of Mo(CO)6 roughly between 150 and 175 oC. The GPC is mentioned to quickly decay for temperatures below 150 oC, with hardly any deposition at T<130 oC [1,2]. According to the experimental observations in this work, the deposition of MoOx on a silicon substrate can indeed hardly start at T<130 oC, due to the practically inappropriate long incubation time. However, the deposition of MoOx, once enabled at T=130 oC or higher, naturally continues at 80 oC with a reasonable GPC. The growth per cycle decreases only from 0.028 nm/min at T= 175 oC to 0.020 nm/min at T= 80 oC.

In our presentation, an extended look into these experimental findings including film characterization will be given. We further would like to draw attention of the ALD community to a need for verifying the methodology of ALD window determination for scientific experiments.

[1] J. Mater. Chem., 2011, 21, 705-710

[2] Appl. Mech. Mater., 2014, 492, 375-379

AF-MoP-13 ZnO1-xSx Layer Growth and Composition by Thermal and Plasma ALD
Stefanie Spiering, Dominik Bagrowski, Theresa Magorian Friedlmeier, Wolfram Hempel, Tania Wohnhaas, Jan-Philipp Becker (Zentrum für Sonnenenergie- und Wasserstoff-Forschung Baden-Württemberg (ZSW))

ZnO1-xSx alloys are wide-bandgap materials with high transmittance in the short-wavelength region. This makes them promising candidates as the n-type conducting buffer layer for different absorber types in thin-film photovoltaic devices. In this work, we studied the growth behaviour and composition of ternary ZnO1-xSx on Si wafer substrates at a fixed deposition temperature of 150°C using a thermal ALD process with diethylzinc (DEZ), H2O and H2S as precursors, and additionally a mixed thermal/plasma-enhanced ALD process with DEZ, H2S and O2 plasma. The growth rates and the composition of the films were analysed by spectral ellipsometry and EDX (energy dispersive x-ray spectrometry).

With thermal ALD we processed layers over a wide range of different H2O:H2S pulse ratios. The cycle ratio for H2S is defined here as CRH2S=k*100%/(k+l) with k being the number of H2S pulses and l being the number of H2O pulses in a cycle, respectively. In contrast to former works on ZnO1-xSx by ALD, not only the smallest possible bilayer period P=1*(k+l) for a certain CRH2S was applied, but also larger bilayer periods P=a*(k+l) were examined (e.g. a=1,2,…,7 for CRH2S=50% (k:l = 1:1) à P=2,4, …,14).EDX measurements showed a significant influence of the bilayer period on the sulphur content in the resulting films. Furthermore, we observed a linear relationship between the resulting S/(S+O) ratio in the film and the number of H2S pulses for a fixed number of H2O pulses, and vice versa. Therefore, it is possible to estimate the S/(S+O) ratio for a given pulse ratio by extrapolation. We discuss a few exceptions to the linear behaviour, e.g. for H2O:H2S ratio 1:1, 1:l and k:1.

ZnO1-xSx films grown in a mixed thermal-/plasma-enhanced ALD process showed a very different layer growth in comparison to the thermal deposition. With the purely thermal process we deposited layers with S/(S+O) ratios in a wide range from about 10 to 90 % applying moderate H2S/(H2O+H2S) pulse ratios, even a higher S-content in the layers was achieved compared to the theoretically expected one. In contrast, by application of oxygen plasma (O2Pl) as reactant for the ZnO cycle, a very high H2S pulse fraction was necessary to reach S/(S+O) ratios >30%. With an O2Pl:H2S ratio of 1:24 (CRH2S=96%) a S/(S+O) ratio of 60 % was measured.

View Supplemental Document (pdf)
AF-MoP-14 Effect of Precursors on Properties of Atomic Layer Deposited Cr-Ti-O Thin Films
Mahtab Salari Mehr (University of Tartu, Institute of Physics); Lauri Aarik (University of Tartu, Istitute of Physics); Taivo Jõgiaas, Aivar Tarre, Hugo Mändar (University of Tartu, Institute of Physics)

Abstract:

Chromium oxide coatings are technologically important due to their good mechanical, chemical, magnetic, catalytic and optical properties. It has been shown that the properties of Cr2O3 coatings can be considerably changed if it is doped with an appropriate element. Chromium containing ternary oxide thin films have previously been grown by chemical vapor deposition or magnetron sputtering methods resulting in hard (hardness 31 GPa) [1]orsuper-hard (40 GPa) coatings [2] or showing good photocatalytic properties [3]. In this work chromium containing ternary compound (Cr-Ti-O) thin films were grown on Si (100) substrates using two different precursor combinations: CrO2Cl2 -CH3OH:TiCl4-H2O, and Cr(thd)3-O3:TiCl4-O3by atomic layer deposition offering precise control over the concentration of dopant elements in the composition. Film density, roughness, phase composition, refractive index, hardness and Young’s modulus were studied in variation of Ti concentration in the thin films. Thin films with average thickness of 115 nm deposited using CrO2Cl2-CH3OH and TiCl4-H2Oprecursors exhibited crystalline α-Cr2O3 (eskolaite) phase with density from 4.8 to 5.2 g/cm3. By increasing the number of TiCl4 -H2O cycles compared to CrO2Cl2-CH3OH cycles, the total intensity of eskolaite reflections decreased. The films with average thickness of 45 nm deposited using Cr(thd)3-O3 and TiCl4-O3showed crystalline TiO2 anatase phases with density from 4.0 to 4.7 g/cm3 (Fig. 1). As the growth rate of the Cr2O3 deposited using Cr(thd)3-O3 (50 pm/cycle) was remarkable lower than that for CrO2Cl2-CH3OH process (70 pm/cycle), the change of the Cr(thd)3-O3:TiCl4-O3 cycle ratio from 1:30 to 1:1 only decreased the amount of the anatase phase in the film.

References:

[1] Bahrami, Amin, et al. "Structure, mechanical properties and corrosion resistance of amorphous Ti-Cr-O coatings." Surface and Coatings Technology, 374 (2019) 690-699.

[2] Mohammadtaheri, M., et al. "An investigation on synthesis and characterization of superhard Cr-Zr-O coatings." Surface and Coatings Technology, 375 (2019) 694-700.

[3] Chen, Yang, et al. "Synthesis of core-shell nanostructured Cr2O3/C@ TiO2 for photocatalytic hydrogen production." Chinese Journal of Catalysis 42 (2021) 225-234.

View Supplemental Document (pdf)
AF-MoP-15 Ligand Exchange with a Novel Cyclic Alkyl Amido Plumbylene and Transition Metal Complexes
Kieran Lawford, Goran Bačić (Carleton University); Jason Masuda (St. Mary's University); Seán Barry (Carleton University)

Lead-containing precursors are an active field of study for the deposition of PbS (DOI: 10.1021/acs.chemmater.0c01887), PbO (DOI: 10.1149/1.2789286), and other lead-containing films.During our efforts to find new Pb(II) ALD precursors, we found that the acyclic diamido plumbylene lead(II) bis(N-tert-butyltrimethylsilylamide) (0) undergoes facile thermal decomposition into the homoleptic cyclic (alkyl)(amido) plumbylene (caaPb) bis(N-tert-butyl-2-aza-3,3-dimethyl-1-plumba-3-silacyclobutane) (1). Our interest in compound 1 pivoted toward the novel nature of the ligand and the potential to use it to synthesize new ALD precursors. We hypothesised that salt-metathesis between 1 and metal chlorides would produce similar heterocycles (caaM, M=metal). Lead is a good candidate for salt metathesis due to the thermodynamic driving force of the formation and precipitation of PbCl2, driving the reaction to completion. Additionally, the high yield of the caaPb, cheap starting materials, and recyclability of the PbCl2 by-product makes this synthetic methodology appealing, economical, and green. We tested the metathesis with ZnCl2 because, if the salt metathesis is possible with zinc, then less electropositive metals should also undergo in the ligand exchange. During our test, we isolated PbCl2 indicating that the metathesis was successful. Quantum chemical studies suggests the analogous reaction with other metal chlorides should also proceed.

There are early examples of titanium, zirconium, and hafnium complexes with a similar heterocyclic system (CH2Si(Me2)NSiMe3), and the heterocyclic Cp2TiCH2Si(Me2)NSiMe was even used as a titanium-ceramic CVD precursor.1,2 However, the synthesis of these is unreliable.2 We can now prepare similar metal complexes using ligand exchange from 1 with higher yields. Additionally, we expect to see a change in thermal properties after exchanging the trimethylsilyl group for a t-butyl group appended to the heterocycle, since the trimethylsilyl moiety is thermally active. A variety of complexes will be vetted to determine which work best as vapour-phase precursors with respect to volatility and thermal stability.

1. Planalp, R. P.; Anderson, R. A.; Zalkin, A. Dialkyl Bis[bist(trimethylsilyl)amido] Group 4A Metal Complexes. Preparation of Bridging Carbene Complexes by γ Elimination of Alkane. Crystal Structure of {ZrCHSi(Me)2NSiMe3[S(SiMe3)2]}2. Organometallics1983 2, 16-20.

2. Simpson, S. K.; Anderson, R. A. Reaction of the Metallocene Dichlorides of Titanium(IV) and Zirconium(IV) with Lithium Bis(trimethylsilyl)amide. Inorg. Chem. 1981, 20, 3627-3629.

View Supplemental Document (pdf)
AF-MoP-16 Diaminosilane Precursors for the Atomic Layer Deposition of Iron Metal
Molly Alderman, Seán Barry (Carleton University)

Currently there are few processes for the atomic layer deposition of iron metal thin films, and none without significant limitations—either by CVD or relying on very specific substrates with growth terminating after the initial substrate is covered. With an appropriate precursor, iron films could provide the basis for ferromagnetic coatings and to enable a range of iron alloy films – like stainless steel thin films – with desirable properties.

Geminal diaminosilane (gDAS) ligands are N,N’ κ2 chelates with silicon bridging the coordinating nitrogen centres (Figure 1). We have previously reported a range of first row transition metal complexes with the gem-diaminosilane (tBuNH)SiMe2NMe2 [2]. Transition metal gDAS precursors possess better volatility and thermal stability than their amidinate analogues while still avoiding problematic metal-oxygen bonds (compared to alkoxides) and with added stability from the chelate effect.

Here we report our initial study of new iron complexes which improve on the previously reported acetamidinate and α-imino alkoxide precursors [1]. We have synthesized, characterized, and evaluated the thermal characteristics of several Fe(II) and Fe(III) complexes containing monoanionic and dianionic gDAS ligands which show promise as precursors for the ALD of iron. Preliminary deposition studies using the previously reported Fe(gDAS)2 monitored by quartz-crystal microbalance, as well as microscopy and initial compositional analysis will also be discussed.

[1] Kalutarage, L. C.; Martin, P. D.; Heeg, M. J.; Winter, C. H. Volatile and Thermally Stable Mid to Late Transition Metal Complexes Containing α-Imino Alkoxide Ligands, a New Strongly Reducing Coreagent, and Thermal Atomic Layer Deposition of Ni, Co, Fe, and Cr Metal Films. J. Am. Chem. Soc.2013, 135 (34), 12588–12591. https://doi.org/10.1021/ja407014w.

[2] Griffiths, M. B. E.; Zanders, D.; Land, M. A.; Masuda, J. D.; Devi, A.; Barry, S. T. (TBuN)SiMe2NMe2—A New N,N′-Κ2-Monoanionic Ligand for Atomic Layer Deposition Precursors. Journal of Vacuum Science & Technology A2021, 39 (3), 032409. https://doi.org/10.1116/6.0000795 View Supplemental Document (pdf)
AF-MoP-18 Higher Effective Dielectric Constant of Hafnium Oxide When Grown with Hydrogen Peroxide Compared to Water Vapor
Jeffrey Spiegelman (RASIRC); Harshil Kashyap, Andrew Kummel (University of California at San Diego)

As high-k gate dielectrics continue scaling in the sub 1nm EOT range, the low-k interfacial layer that is pre-formed or formed during the dielectric deposition process is increasingly problematic since it reduces the effective k-value of the dielectric layer(1) . For Hafnium Oxide grown on silicon, the EOT is a combination of the silicon dioxide interface and the quality of the hafnium oxide. Consiglio (1) reported reduced thickness of the silicon dioxide interface layer with hydrogen peroxide gas when compared to ozone. In this study hafnium oxide was grown on HF cleaned silicon with either water vapor or H2O2 gas. The Keffective was improved by 27% H2O2 grown HfO2. The film grew 50% faster with H2O2 than water. Vt was 0.18V for H2O2 and -1.3V for water. Additional data will be presented to clarify if the Keffective was due to a thinner SiO2 interface layer or improved HfO2 film quality.

AF-MoP-19 Atomic Layer Deposition of Low-resistivity Molybdenum Nitride Using F-free Mo Precursors and NH3 Plasma
Wangu Kang, Ji Sang Ahn, Jeong Hwan Han (Seoul National University of Science and Technology)
Molybdenum nitride film (MoNx) is considered as a promising material in CMOS manufacturing process as gate electrode, contact layer, and diffusion barrier due to its high electrical conductivity, chemical stability, and thermal stability. With the continuous miniaturization of semiconductor devices, the development of atomic layer deposition (ALD) MoNx process has been demanded to obtain conformal MoNx film in complex 3-dimensional structure. However, MoNx ALD using fluorine(F)-containing Mo precursor such as MoF6showed various issues for example, forming void due to HF fume and degradation of metal or peripheral oxide by HF by-product.
In this study, we have developed the ALD MoNx films using F-free Mo precursor and NH3 plasma at the temperature range of 200-400 oC. The characteristics of MoNx thin films such as crystallinity, chemical binding state, impurity and resistivity were examined by GAXRD, XPS, and 4-point measurement system. The post-annealing by rapid thermal annealing (RTA) at 500-800oC was conducted in H2/Ar ambient to improve the resistivity of MoNx films, and consequently the significant decrease in resistivity was observed after RTA due to the reduction of MoNx into Mo.
AF-MoP-20 Atomic Layer Deposition of Molybdenum Carbides for Advanced Metallization: The Influences of Mo Precursor and Post-Deposition Annealing
Ji Sang Ahn, Wangu Kang, Jeong Hwan Han (Seoul National University of Science and Technology)

As the width of metallization wire in semiconductor device decreases, Cu interconnect and W word line does not scale down as fast as linewidth due to its high electron mean free path (EMFP). Meanwhile, molybdenum (Mo) and molybdenum carbides (MoCx) are considered as promising materials for next-generation interconnect owing to its small EMFP andless resistivity size effect.In order to apply the Mo and MoCxthin films to the 3-dimensional semiconductor devices, atomic layer deposition (ALD) of Mo and MoCx has been required. However, Mo and MoCx ALD using fluorine (F)-containing Mo precursor resulted in various issues such as oxide damage and deterioration of device property due to F contamination and HF by-product. Therefore, it is essential to developMo and MoCx ALD processusing F-free Mo precursors.

In this study, δ-MoC and β-Mo2C thin films were depositedby ALD using two different F-free precursors. Rapid thermal annealing (RTA) process was adopted to reduce MoCx into Mo metal and to increase crystallinity of the film. β-Mo2C showedimproved crystallinity after RTA process, whereas δ-MoC was reduced into metallic Mo (~46.5 μΩ·cm) after post-reduction annealing. The crystallinity, chemical state, impurity, and electrical characteristics of molybdenum carbide thin films will be compared before and after annealing process and reaction mechanism of the post-reduction annealing will be discussed.

AF-MoP-21 ALD of Niobium Oxide (Nb2O5) and Niobium-Doped Titanium Oxide (Nb:TiO2) for Solar Cell Applications
Thomas Vincent, Damien Coutancier, Pia Dally (IPVF (Institut Photovoltaïque d'Île-de-France)); Muriel Bouttemy, Mathieu Frégnaux (ILV (Institut Lavoisier de Versailles) - IPVF (Institut Photovoltaïque d'Île-de-France)); Nathanaëlle Schneider (CNRS-IPVF)

Atomic Layer Deposition (ALD) is increasingly contributing to the energy field and more specifically to the engineering of solar cells. Its conformity enables deposition on nanostructured substrates and its low growth temperature allows the deposition on temperature-sensitive substrates such as perovskite.

Niobium oxide, Nb2O5, is a wide bandgap semiconductor that has been grown by different methods and has recently been used in solar cells. Its optical and electrical properties depend strongly of the technique used for its growth, opening access to a wide range of application, such as electron transport layer (ETL)or passivation layer [1,2]. It is also used for the doping of titanium oxide (TiO2), a well-known ETL, to reach a better stability of the complete solar cell.

In this work, we first developed ALD-Nb2O5 from tris(diethylamido)(tert-butylimido)niobium (TBTDENb) and water. The growth was studied from 100°C to 200°C, on several substrates (Si, glass, FTO, ITO). The ALD process was first optimized using QCM (Quartz Crystal Microbalance). Annealing studies in different conditions (air/inert atmospheres, up to 600°C) were conducted to understand the evolution of Nb2O5 at temperatures which are relevant within the fabrication steps of the solar cell.

Then, niobium-doped titanium oxide was developed using tris(isopropoxide)titanium (TTIP) as titanium precursor, and Nb-doping was applied by a supercycle strategy and tuned by several methods (supercycle ratio, precursors sequences). For both materials, structural, chemical, electrical and optical properties were characterized by XRR (X-Ray Reflectivity), GIXRD (Grazing Incidence X-Ray Diffraction), ellipsometry, SEM (Scanning Electron Microscopy), XPS (X-Ray Photoelectron Spectrometry), XRF (X-Ray Fluorescence), spectrophotometry, 4-points probe.

Finally, those ALD-materials were implemented in perovskite solar cell architectures.

[1] Subbiah, et al (2019). Energy Technology, 8(4), 1900878. https://doi.org/10.1002/ente.201900878

[2] Macco et al, (2018). Solar Energy Materials and Solar Cells, 184, 98-104. https://doi.org/j.solmat.2018.04.037

AF-MoP-24 Amorphous Carbon(a-C) Atomic Layer Deposition using CBr4 Precursor
Tae Hyun Kim, Myoungsub Kim, Seungwon Park, Seungmin Chung, Hyungjun Kim (Yonsei University, Korea)

Phase change memory (PCM) is attracting attention as the need for high-performance memory semiconductors that can process large amounts of data quickly increases with the development of the 4th industry, such as big data and artificial intelligence. At the same time, research on 3dimensions cross-point (3D X-point) memory using memory cells and selectors in the area where word lines and bit lines intersect in 3D to create a highly integrated PCM is also being conducted. However, the most important factor for high efficiency and integration of these PCM devices is to reduce the current required for device operation. Recently, studies have been actively conducted to increase the joule heating efficiency by increasing the specific resistance of the electrode. In this respect, carbon, which exhibits various resistivities (conductor (graphite, sp2 bond) – insulator (diamond, sp3 bond)) [1], [2] depending on the state of atomic bonding, is an attractive material as a PCM electrode. So far, PVD (Physical Vapor Deposition) and CVD (Chemical Vapor Deposition) processes have been used to deposit carbon thin films. However, it is difficult to deposit a uniform carbon thin film on a three-dimensional structure with the conventional method, and it is difficult to control the physical properties of carbon. Therefore, in this study, a carbon process using ALD (Atomic Layer Deposition) was developed. Furthermore, the analysis of the carbon thin film properties according to the process conditions (reactant, temperature, pressure, time, etc...) was analyzed, and the corresponding mechanism was studied.

AF-MoP-26 Study of Atomic Layer Deposition of ZrO2 Thin Film using Newly Synthesized Precursor with Linked-Cp Ligand
Hyemi Han, Seonyeong Park, Seunggyu Na, Seung-min Chung, Hyungjun Kim (Yonsei University)

As the dynamic random access memory (DRAM) has been continuous downscaling, zirconium oxide, a high-k dielectric material, is widely used as a substitute for conventional SiO2. Because it has a relative high dielectric constant (15~22), a high breakdown field (15–20 MV/cm), a large band gap (5–7 eV), and a good thermodynamic stability up to 800 ℃ when in contact with the silicon substrate.1

Among the various methods of deposition, ALD has been recognized as the leading candidate to process the high-k dielectrics owing to characteristics such as self-limiting reaction, excellent conformality, easy controlled thickness, and large area uniformity.2

The choice of precursor is very important because the film properties are totally different depending on which kinds of precursor is used. For instance, in case of halide ligand precursor, it has been widely used since there is no carbon incorporation into the films. However there is limitation like low volatility, particle issue and harmful byproduct. Also alkoxide ligand having a strong metal-oxygen bonding is required a relatively high temperature and it is easily decomposed by heat and contains high concentration of carbon impurity in the thin film. 3 Among various types of precursors, ZrO2 thin film using Cp ligand precursor have been widely used recently due to their good thermally stable allowing deposition temperature above 300℃ and excellent crystallinity. However it has disadvantage in that the growth rate is decreased due to its bulky size.4

Like this, several kinds of precursors have been studied for ALD of ZrO2 thin film but it is still needed for research on precursors. In this paper, new linked-Cp zirconium precursor ((linked CpZr(N(CH3)2)3) ) was synthesized for ALD of ZrO2 thin film and compared with the commonly used (CpZr(N(CH3)2)3) precursor in terms of growth characteristic and film property. For ALD ZrO2 process, oxygen plasma was used as the oxidant.

Various experimental methods, including spectroscopic ellipsometry, X-ray photoelectron spectroscopy (XPS), X-ray diffraction (XRD), X-ray Reflectivity (XRR) and density functional theory calculations (DFT)) were used for analyzing growth characteristic and film property. Additionally for the analysis electrical property, capacitance-voltage (C-V) and current-voltage (I-V) measurements were conducted.

1 M. Balog, M. Schieber, Thin Solid Films 1977, 47, 109

2 H. J. Kim, H. -B. -R. Lee, Thin Solid Films 517, 2563–2580 (2009)

3 J. Niinistö, K. Kukli, Advanced Engineering Materials vol. 11 223–234 (2009)

4 J. –S. Jung, S. –K. Lee, Thin Solid Films. Thin Solid Films.Volume 589 831-837 (2015)

View Supplemental Document (pdf)
AF-MoP-27 Thermal Atomic Layer Deposition of in2O3 Thin Films Using a Homoleptic Indium Triazenide Precursor and Water
Pamburayi Mpofu (Linköping University)

Indium oxide (In2O3) has received much attention for a wide range of applications, including in optoelectronics as a transparent conducting material. We report ALD of In2O3 using a recently reported indium(III) triazenideprecursor1 together with water. The deposition process was studied between 150 and 520 °C using a homebuilt crossflow ALD reactor at 50 hPa with indium(III) triazenide pulsed for 4s, and water pulsed for 3s as precursors and purging with nitrogen for 10s in between. The deposition process is self-limiting at ~1.0 Å/cycle and temperature window between 270 and 385 °C. XRD analysis shows that the films are polycrystalline with a preferred (222) orientation. The films are substoichiometric with have low levels of C impurities. Optical transmittance is high, >70% in visible light, and the resistivity was found to be low signifying high conductivity. These results are on par with the current state-of-the-art reported for thermal ALD of In2O3 from a formamidinate precursor.2 We make a direct comparison between the indium triazenide precursor and the indium formamidinate precursor in the same reactor and show that they render very similar temperature windows and film quality optical transparency, and conductivity of the deposited films.

Refs.:

  1. O’Brien et al. Chem. Mater., 2020, 32, 4481.
  2. Kim et al. Chem. Eur. J.,2018, 24, 9525.
AF-MoP-28 Nanoindentation of Amorphous Aluminium and Tantalum Oxide Nanolaminates
Helle-Mai Piirsoo, Taivo Jõgiaas, Peeter Ritslaid, Kaupo Kukli, Aile Tamm (University of Tartu, Institute of Physics)

Ta2O5-Al2O3 nanolaminates are potentially applicable as high dielectric strength insulators [1], resistive switching media [2] and corrosion resistant coatings [3]. For most applications the mechanical properties of the thin films have a significance on the reliability of the devices. Double- and triple-layer amorphous Al2O3-Ta2O5 laminates with an overall thickness of about 70 nm were atomic layer deposited while changing the sequence of the layers from surface to substrate. Hardness and elastic modulus of the laminates were measured with nanoindentation and influence from the layer thickness and sequence on the mechanical properties were analysed.

Figure 1 depicts the change of hardness along the depth of the films for double-layered laminates. Layered structure caused an uneven rise in hardness with depth dependent on the sequence of Al2O3 and Ta2O5. Figure 2 describes the hardness of triple-layered laminates, showing an even incline for the laminate with a middle Ta2O5 layer surrounded with Al2O3 whereas the laminate with middle Al2O3 between Ta2O5 layers resembled the double-layered laminate behaviour. An additional quadruple-layered laminate was measured and showed a steady increase of hardness with depth. The elastic modulus for all the laminates was similar, steady along the depth and fell between 145 – 155 GPa.

It can be concluded that the hardness of layered films is affected by both the thickness of the layers and their sequence. Lowering a single constituent layer thickness can smoothen the difference in hardness along the film depth which could reduce internal stresses, defects, and delamination. Adding an extra layer to a thin film could increase its mechanical resilience in a cost-effective way.

References:

[1] B.V.T. Hanby, B.W. Stuart, M. Gimeno-Fabra, J. Moffat, C. Gerada, D.M. Grant, Appl. Surf. Sci. 492, 328 (2019) https://doi.org/10.1016/j.apsusc.2019.06.202.

[2]W. Song, W. Wang, H.K. Lee, M. Li, V.Y.-Q. Zhuo, Z. Chen, K.J. Chui, J.-C. Liu, I.-T. Wang, Y. Zhu, N. SinghAppl. Phys. Lett. 115, 133501 (2019) https://doi.org/10.1063/1.5100075

[3] B. Díaz, E. Härkönen, J. Swiatowska, A., V. Maurice, M. Ritala, P. Marcus, Corr. Sci 82, 208 (2014) http://dx.doi.org/10.1016/j.corsci.2014.01.024

View Supplemental Document (pdf)
AF-MoP-29 Experimental and ReaxFF MD Studies for Boron Nitride ALD Growth from BCl3 and NH3 Precursors
Naoya Uene, Takuya Mabuchi (Tohoku University, Japan); Masaru Zaitsu, Yong Jin, Shigeo Yasuhara (Japan Advanced Chemicals Ltd., Japan); Adri van Duin (Pennsylvania State University, USA); Takashi Tokumasu (Tohoku University, Japan)

Boron nitride (BN) has been considered a promising dielectric material for 2D material-based electronics. The atomic layer deposition (ALD) technique is a good method to grow conformal and ultrathin materials at relatively low temperatures. However, the growth mechanism is still not clear because the surface events with self-termination resulting from chemical reactions and physical dynamics are complicated. We aim to understand the growth mechanisms of the BN-ALD process from BCl3 and NH3 by experiments and simulations using the reactive force-field molecular dynamics (ReaxFF MD).

First, we investigated temperature profiles using a thermal ALD system described in Fig. (a). BN films were grown on the Si(100) surface in a hot walled horizontal reactor through the general ALD cycles as follows; BCl3 exposure, Ar purge, NH3 exposure, and Ar purge. Fig. (b) shows the thickness of the grown BN as a function of growth temperature measured on thechamber wall. We obtained a relatively small dependency of growth per cycle on the temperature in the range of 700-900 °C, suggesting ALD growth. The thin film property was characterized using IR spectroscopy as shown in Fig. (c). The remarkable peak at 1367 cm−1 is originated from sp2 BN associated with the in-plane stretching.These experimental results mean proper BN growth on the Si(100) surface by ALD. Second, we started to develop a new force field for the ReaxFF MD, which can simulate the surface events including complicated chemical reactions and physical dynamics. The initial force field is based on the two types of force field; boron nitride nanostructure formation and dynamical crack propagation in silicon, by Lele et al. and Buehler et al., respectively. The initial force field is mainly trained for boron chloride species and the reaction of BCl3 on the OH-terminated Si(100) surface. We simulated one ALD cycle after thermal annealing to relax the initial system shown in Fig. (d). The Ar purge steps are simply replaced as the removals of gas molecules with thermal annealing. The simulations revealed some growth mechanisms: the -BCl2 chemisorbed on the OH-terminated surface in the feed step, -NH2 chemisorbed on the Cl-terminated surface in the reaction step, and HCl was generated with the chemisorption in these two steps. Our simulations are still one ALD cycle, however, these growth mechanisms are chemically straightforward.

We will show you thin film properties such as crystallinity and composition and compare them with the experimental results. The experimental and theoretical study of the ALD can be applied not only to the BN system but also to critical materials such as TiN and GaN in the future.

AF-MoP-30 Enhancement of Graphene-Related and Substrate-Related Raman Modes Through Dielectric Layer Deposition
Karolina Pietak (Warsaw University of Technology Faculty of Chemistry); Jakub Jagiełło, Artur Dobrowolski (University of Warsaw Faculty of Physics); Rafał Budzich (Warsaw University of Technology Faculty of Chemistry); Andrzej Wysmołek (University of Warsaw Faculty of Physics); Tymoteusz Ciuk (Łukasiewicz Research Network - Institute of Microelectronics and Photonics)
Enhancement of the Raman signal intensity is currently among the most researched directions in developing Raman-based characterization techniques of all 2D materials as it elevates detection limits of their fine structural properties. The interest in signal intensification is also triggered by the wide range of applications it can benefit, including biochemistry and biosensing, polymer and materials science, catalysis, electrochemistry, the study of high-temperature processes, and detection of hazardous gases.

In this research, we demonstrate a method for the enhancement of Raman active modes in hydrogen-intercalated quasi-free-standing epitaxial Chemical Vapor Deposition graphene and the underlying semi-insulating 6H-SiC(0001) substrate through constructive signal interference within the atomic-layer-deposited amorphous Al2O3 passivation. We find that an optimum Al2O3 thickness of 85 nm for the graphene 2D mode and 82 nm for the SiC longitudinal optical A1 mode at 964 cm-1 enables a 60% increase in their spectra intensities. We demonstrate the method's efficiency in Raman-based determination of the dielectric thickness and high-resolution topographic imaging of graphene surface [1].

[1] K. Piętak, J. Jagiełło, A. Dobrowolski, R. Budzich, A. Wysmołek, T. Ciuk, Enhancement of graphene-related and substrate-related Raman modes through dielectric layer deposition, accepted for publication in Applied Physics Letters (28.01.2022).

Acknowledgements:
The research leading to these results has received funding from the Research Foundation Flanders (FWO) under Grant No. EOS 30467715, the National Science Centre under Grant Agreement No. OPUS 2019/33/B/ST3/02677 for project "Influence of the silicon carbide and the dielectric passivation defect structure on high-temperature electrical properties of epitaxial graphene" and the National Centre for Research and Development under Grant Agreement No. LIDER 0168/L-8/2016 for the project "Graphene on silicon carbide devices for magnetic field detection in extreme temperature conditions”.
Karolina Piętak and Rafał Budzich acknowledge financial support from the IDUB project (Scholarship Plus programme).
AF-MoP-31 Interface Modification of Thermoelectric Materials with Oxide Compounds by Atomic Layer Deposition
Shiyang He, Amin Bahrami, Kornelius Nielsch (Leibniz-Institut für Festkörper- und Werkstoffforschung Dresden e.V.)

In thermoelectric materials, phase boundaries are crucial for carrier/phonon transport. Manipulation of carrier and phonon scatterings by introducing continuous interface modification has been shown to improve thermoelectric performance. In this work, a strategy of interface modification based on powder atomic layer deposition (PALD) is introduced to accurately control and modify the phase boundary of pure bismuth. Ultrathin layers of Al2O3, TiO2, ZnO and Sb2Ox are deposited on Bi powder by typically 1–20 cycles. All of the oxide layers significantly alter the microstructure and suppressed grain growth. These hierarchical interface modifications aid in the formation of an energy barrier by the oxide layer, resulting in a substantial increase in the Seebeck coefficient that is superior to that of most pure polycrystalline metals. Conversely, taking advantage of the strong electron and phonon scattering, an exceptionally large decrease in thermal conductivity is obtained. It’s worth noting that a substantial decrease of κtot from 7.8 to 5.7 W⸱m-1⸱K-1 was obtained with just 5 cycles of Sb2Ox layers and a 16% reduction of κlat. Finally, a maximum figure of merit, zT, of 0.15 at 393 K and an average zT of 0.14 at 300–453 K were achieved after 5 cycles of Al2O3-coated Bi. The ALD-based approach, as a practical interfacial modification technique, can be easily applied to other thermoelectric materials to enhance their performance.

AF-MoP-32 Comparative Study of the Growth Characteristics and Electrical Properties for Atomic Layer Deposited HfO2 Films Using Cp-Based Hf Precursors
Seunggyu Na, Seonyeong Park, Hwi Yoon (Yonsei University, Korea); Yujin Lee (Stanford University); Seung-min Chung, Hyungjun Kim (Yonsei University, Korea)

Scaling down of Si-based metal-oxide-semiconductor (MOS) has been main issue for semiconductor industry. With the demand for faster and smaller devices, channel length and thickness of gate dielectric have rapidly shortened. To prevent high leakage current for thin SiO2, scaling down requires materials with higher dielectric constant. Among many materials, HfO2 has been widely used for its superior properties. It has appropriate band offset with Si (~1.4 eV) and superb dielectric properties, such as high dielectric constant of about 25. For deposition of gate dielectric HfO2, atomic layer deposition (ALD) technique has been widely used due to its superior characteristics, including excellent conformality, easily controlled thickness at atomic scale, atomic level composition control, large area uniformity, low impurity, and low growth temperature.

Many kinds of precursors, such as halides, alkoxides, alkylamides, and cyclopentadienyls have been studied for HfO2 ALD process. Among these various type of precursors, alkylamide precursors are attracting attention due to their superior characteristics. They have relatively weak metal-N bonds, and weaker bond attributes to high reactivity in low temperature. Furthermore, the ligands of alkylamide precursors effectively prevent neighboring precursors from bonding to the metal center, which attributes to low melting point and high volatility. However, their weak metal-N bonds lead to decomposition of the precursors at high temperature. It limits ALD window and results in incorporation of impurities in film at high process temperature. In addition, the upper process temperature limit also prevents the formation of films with higher density and higher crystallinity.

To overcome this low thermal stability issue, heteroleptic precursors including cyclopentadienyl (Cp) ligand were introduced. Cp ligand has been widely employed for enhancing thermal stability and volatility of precursor. To take step further in terms of stability, we studied precursor with linking between Cp ligand and alkylamide ligand. By comparing the two Cp-based heteroleptic precursors with the linking between Cp ligand and alkylamide ligand and the one without, we investigated the impact of linked ligand structure on growth characteristics, chemical compositions, crystallinity, and electrical properties. Furthermore, density functional theory (DFT) calculations were introduced for revealing the reaction energy and pathways.

AF-MoP-33 Role of Ligand Coordination Sphere on the Physico-Chemical Properties of New Mn Precursors: Structural, Thermal and DFT Investigations
Martin Wilken (Ruhr-Universität Bochum); Arbresha Muriqi, Michael Nolan (Tyndall National Institute, University College Cork); Anjana Devi (Ruhr Universität Bochum)
The interesting redox chemistry of manganese (Mn), its high abundancy in Earth’s crust and additionally the associated unique electronic states make manganese-based materials like its oxides, nitrides or the sulfides suitable for a broad range of applications. In particular, when applied as thin films, Mn-based materials can function as cathodes or components in batteries[1], electrocatalysts for oxygen evolution reaction (OER)[2], dilute magnetic semiconductors (DMS) in spintronics[3] etc. Atomic layer deposition (ALD) is one of the preferred methods to deposit thin films with a high degree of control of film properties. The precursors employed for ALD play an important role. Many of the known Mn precursors namely manganocene’s (e.g., [MnCp2])[4], carbonyls [Mn2(CO)10][5], or β-diketones (e.g., [Mn(thd)3])[6] are associated with insufficient vapor pressure, poor shelf life and/or low thermal stability. One particular precursor which potentially overcomes the mentioned drawbacks is the all-nitrogen coordinated manganese tert-butyl-acetamidinate [Mn(tBuAMD)2][7]. Motivated by this promising development, we further explored this class of Mn precursors by introducing the structurally related guanidinates and formamidinate ligand systems. This resulted in a series of new Mn complexes, which were thoroughly investigated by means of single-crystal XRD, nuclear magnetic resonance spectroscopy (NMR-Evans method), elemental analysis (EA), mass spectrometry (MS), and infrared spectroscopy (IR). To gain insights into the physicochemical properties, detailed thermal analysis was performed. Owing to the extreme sensitivity and reactivity of the compounds towards moisture and oxygen, density functional theory (DFT) calculations were performed to evaluate the origin of the pronounced reactivity. Based on the interesting results from the DFT studies, we investigated the influence of the manganese coordination sphere moving from all nitrogen to mixed O/N coordinated complexes, to optimize the physicochemical properties. The resulting Mn-ketoiminate class of compounds were successfully isolated and characterized in detail. A direct comparison of the all N- with the mixed O/N-coordinated Mn precursors in terms of the precursor characteristics relevant for ALD applications was performed which will be presented in this contribution.
AF-MoP-34 Surface Reactions During Nitrogen-Based Plasma Irradiation in Plasma-Enhanced Atomic Layer Deposition (PE-ALD) of Silicon Nitride
Abdullah Y. Jaber (Center for Atomic and Molecular Technologies - Osaka University); Michiro Isobe, Tomoko Ito, Kazuhiro Karahashi, Satoshi Hamaguchi (Center for Atomic and Molecular Technologies, Osaka University)
Plasma-enhanced atomic layer deposition (PE-ALD) plays an important role in uniform thin films deposition for semiconductor manufacturing with a large area and high accuracy. Silicon nitride (SiN) thin films are widely used in semiconductor devices. Typical technical challenges of developing PE-ALD of SiN (and any other materials) are to form the films with desired qualities at relatively low surface temperature, and possibly on specific surfaces exclusively (i.e., area selective ALD). In a typical SiN PE-ALD process, Si and chlorine (Cl) containing precursors such as dichlorosilane (DCS) H2SiCl2 are adsorbed on the surface in the first half-cycle, and in the second half-cycle, Cl atoms are removed and SiN is formed by nitrogen(N2) / hydrogen(H2) or NH3 plasmas. In the first half-cycle, the adsorption of precursors ends after a monolayer of Si is formed on the SiN surface with bonds terminated with Cl atoms, therefore the half-cycle is self-limiting. In the subsequent half-cycle, the plasma-based process is supposed to replace Cl on the surface with N and H atoms. The goal of this study is to understand the effects of nitrogen ions and hydrogen radicals on the removal of Cl atoms from the surface. We used molecular dynamics (MD) simulations to analyze how the Cl-terminated surface interacts with incident N2+ ions and H radicals. The simulation results showed that most Cl atoms are removed with H radical irradiation with and without N2+ ions after H dose around 1.3x1016 (H atoms/cm2). Incident N2+ ions also remove Cl atoms from the surface while they nitride Si deposited on the surface, but the amount of Cl removed from the surface is limited in this case. In addition, knock-on collisions transfer some Cl atoms to a deeper layer, enhancing the Cl contamination of the surface. Incident NH3+ ions also remove more Cl atoms than N2+ ions only. This means that H plays an important role in converting desorbed precursors such as DCS to SiN in the N2/H2 or NH3 plasma irradiation step. It was also confirmed that MD simulation results and available experimental observations showed good agreement.
AF-MoP-35 Effects of Oxidant and Substrate on Film Properties and Interlayer Formation of Atomic Layer Deposited ZrO2 Films
Seonyeong Park, Seunggyu Na (Yonsei University, Korea); Yujin Lee (Stanford University); Seung-min Chung, Hyungjun Kim (Yonsei University, Korea)

In the early stage of dynamic random access memory (DRAM) development, the thickness of the dielectric SiO2 has been reduced in the Si based two dimensional structure to obtain high integration density and capacitance. However, as the DRAM devices have been continuously scaled down, thickness of SiO2 reached a fatal limit of reaching the physical thickness at which leakage current due to tunneling effect occurs. To break through this, SiO2 was replaced by high dielectric constant (k) materials[1]. Among the various high-k materials, ZrO2 is one of the promising materials since it has good thermal stability, high dielectric constant (k~30) and wide bandgap (5.16-7.8 eV)[2,3]. However, when high-k thin film is deposited on TiN, which is widely used as an electrode, the electrical properties of the thin film are deteriorated such as increased leakage current density due to the interlayer formed between high-k film and TiN[4,5].

The interlayer formed between the substrate and dielectric film occurs due to the oxidation of the electrode during the film deposition process, which is affected by the potential barrier height of the oxidation reaction[6]. Since the potential barrier height depends on the work function of the metal electrode, proper selection of the electrode is required. Studies have been reported on replacing the top electrode with Pt, Au, Ag, etc.[6], but these have the disadvantages of being expensive, which makes difficult to easily apply to real industry. Recently, Ru is recognized as a promising material because of its good thermal stability, low resistance, high work function, and relatively inexpensive among noble metals[7]. In this study, we used sputtered Ru as a top electrode and compared effects of bottom electrode using Ru and TiN on ZrO2 film properties. In addition, to find the proper oxidant to reduce the oxidation of the substrate, the film properties using the two oxidants (oxygen plasma and hydroperoxide) were also compared and analyzed. For ultrathin high quality ZrO2 film, atomic layer deposition (ALD) has been used, which can secure atomic layer controlled ZrO2 film with high conformality and high uniformity.

*Corresponding author: hyungjun@yonsei.ac.kr

[1] Chemical Reviews 99, 1823-1848 (1999)

[2] Reports on Progress in Physics 69, 327-396 (2006)

[3] Journal of Materials Science 53, 15237-15245 (2018)

[4] Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structure 27, 378 (2009)

[5] Solide-State Electronics 115, 133-139 (2016)

[6] Microelectronic Engineering 87, 98-103 (2010)

[7] Microelectronic Engineering 85, 39-44 (2008)

View Supplemental Document (pdf)
AF-MoP-36 Zinc Precursor Development for Future ALD Film Applications
Atsushi Sakurai, Masako Hatase, Masaki Enzu, Atsushi Yamashita, Yoshiki Ooe, Keisuke Takeda, Ryota Fukushima (ADEKA CORPORATION)

ZnO has attractive physical properties such as being an intrinsically n-type semiconductor, having a wide bandgap and high electron mobility. Recently, we have seen an increasing number of stable p-type ZnO devices. Furthermore, 2T0C (two transistor zero capacitor) configuration using IGZO (indium gallium zinc oxide) transistor has been deemed a strong candidate for future 3D memory devices thanks to extremely low Ioff of IGZO TFTs [1]. Diethyl zinc (DEZ) is the preeminent zinc precursor used to make many types of Zn-based ALD thin films such as zinc oxide, sulfide and nitride [2]. However, DEZ has poor thermal stability and can leave carbon impurities in zinc-based films grown at elevated temperatures. Furthermore, it has been pointed out that DEZ has an undesirable pyrophoric nature when exposed to air. Over the years, we have synthesized many kinds of zinc precursors (amide, alkyl, alkoxide, β-diketiminato, β-diketonato, etc.) which have a wide range of TGA delivery temperatures (Fig.1) and thermal stabilities in order to be compatible with future ALD applications. One interesting example of a new ALD Zn precursor is [Et2NCH2CH2CH2]2Zn named as ZNP-2 whose structure has two zinc-carbon bonds with pendant amines at the ligand terminal. Fig.2 shows the cross-sectional SEM image of the ALD ZnO film which was made using the ZNP-2 precursor and H2O as the co-reactant at 200℃. In addition to the ZNP-2 precursor, we will introduce more detailed structures and physical properties on the other zinc precursors as well as some ALD film data during the conference.

[1] Attilio Belmonte, IEDM2020, 28.2, [2] Shaibal Sarker, ALD2015

View Supplemental Document (pdf)
AF-MoP-37 Conformal Deposition of BXC Thin Films from Triethylboron
Arun Haridas Choolakkal, Hans Högberg, Jens Birch, Henrik Pedersen (Linköping University, Sweden)

Boron carbide (BxC) films enriched in 10B is a promising neutron converter material for the next generation solid-state neutron detectors. Upon neutron irradiation, 10B produce detectable particles by the nuclear reactions 10B + n07Li (0.84 MeV) + 4He (1.47 MeV) + ϒ (0.48 MeV) and 10B + n07Li (1.02 MeV) + 4He (1.78 MeV) that have 94% and 6% probability, respectively. Since the world has a 3He shortage, 10B solid-state detectors can potentially be a replacement to 3He detectors in large scale neutron facilities. For the next generation high resolution 10B detectors, films enriched in the 10B isotope must be deposited on pixelated sensor-chip substrates with high aspect-ratio morphologies. For such geometries, the currently employed magnetron sputtering technology is limited which highlight the need for alternative deposition techniques such as chemical vapor deposition (CVD) and atomic layer deposition (ALD). In addition, a low temperature process is required since the detector requires ohmic contacts which needs to be coated before converter layer deposition.

For coating high aspect-ratios, ALD would be the obvious choice, but the lack of ALD carbon precursors as well as carbide processes makes conformal continuous CVD processes a promising synthesis route. From our investigation, we report moderate temperature CVD of BxC thin films on silicon substrates with 8:1 aspect-ratio morphologies, using triethylboron (TEB, natB(C2H5)3) as single source CVD precursor. Step coverage (SC) calculated from the cross-sectional scanning electron microscopy measurements shows that films deposited at ≤450 °C were perfectly conformal (SC = 1). We attribute this to the low reaction probability at low substrate temperatures enabling more gas phase diffusion into the features. The quantitative analysis using time of flight elastic recoil detection analysis (ToF-ERDA) and X-ray photoelectron spectroscopy (XPS) reveals that the as deposited films at 450 °C deposition temperature are B rich carbide material i.e., around 80% Boron, 15% carbon and 5% impurities, which is a promising B/C ratio for neutron detector application if 10B isotope enriched TEB is used. The density of the material, measured by X-ray reflectometry measurements, varies from 1.9 to 2.28 g/cm3 for the deposition temperatures varying from 425 to 550 ⁰C in steps of 25 °C.

This study shows that BxC can be grown conformally by CVD at temperatures matching the thermal budget for the fabrication process and with a total B content which is on par with current state-of-the-art 10B4C films from neutron converters deposited by magnetron sputtering.
AF-MoP-38 Effect of Insulator-to-Metal Transition (Imt) in Vo2 Deposited by ALD
Aline Jolivet, Julien Cardin, Cédric Frilay (CIMAP Normandie Université, ENSICAEN, UNICAEN, CEA, CNRS); Olivier Debieu (CIRIMAT-INPT); Philippe Marie, Sylvain Duprey, Franck Lemarie, Xavier Portier, Bryan Horcholles (CIMAP Normandie Université, ENSICAEN, UNICAEN, CEA, CNRS); Philippe Bazin (LCS Normandie Université, ENSICAEN); Joris More-Chevalier (Institute of Physics, Czech Academy of Sciences); Premysl Fitl (Institute of Physics, Czech Academy of Sciences, ); Stanislav Cichoň, Ján Lančok (Institute of Physics, Czech Academy of Sciences); Wojciech Jadwisienczak (School of Electrical Engineering and Computer Science, Ohio University); David Ingram (Department of Physics and Astronomy, Ohio University); Christophe Labbé (CIMAP Normandie Université, ENSICAEN, UNICAEN, CEA, CNRS)

Since its discovery in 1959, vanadium dioxide (VO2) is well-known for its Insulator-to-Metal Transition (IMT) around 70 °C just above room temperature (RT). Over the last decade, it has gained interest because of its potential applications for resistive switching systems, energy storage in lithium-ion batteries, supercapacitors, infrared detectors, or thermochromic materials1. Due to its low-temperature process, Atomic Layer Deposition (ALD) offers a real industrial advantage in the growth of VO2, allowing to run deposition with low energy consumption and therefore low cost, and on substrates that can be temperature sensitive.

In this context, vanadium oxide films were deposited on both silicon (100) and glass substrates at 240 °C from vanadium tri-isopropoxide (VTIP) and water as an oxidizing agent. Films were grown with different thicknesses, ranging from 30 nm to 120 nm, and then annealed for one hour under forming gas (95% Ar, 5% H2) at a temperature ranging from 400 °C to 550 °C by 50 °C steps.

According to a structural analysis held by X-ray diffraction (XRD) and transmission electron microscopy (TEM) as-deposited vanadium oxide films were amorphous and change morphology to polycrystalline with an admixture of VO2 and V2O5 phases having crystallites size reaching 300 nm after annealing. The elemental analysis was performed by RBS and XPS studies will also be presented for a deeper understanding of their composition and stoichiometry.

VO2 films were also characterized electrically and optically using resistivity measurements, and spectroscopic techniques such as Raman, FTIR, ellipsometry. All experimental results show a reversible and reproducible IMT around 70 °C for films annealed at 500 °C. For electrical measurements, the resistivity decreases down to 10-1 Ω.cm upon IMT temperature. The optical ones show a particularly interesting result by FTIR spectroscopy on VO2 films on silicon substrate, with an optical absorbance of 0.1 OD at RT which increases up to 0.9 OD above IMT temperature on a wavenumber range extending from 4000 to 1000 cm-1. The phase transition is also observable in the UV-visible range on VO2 films on glass substrate and is correlated with the appearance or disappearance of low-temperature VO2 peaks in Raman spectroscopy.

In conclusion, vanadium oxide films deposited by ALD were analyzed between RT and 100 °C and present promising properties tunable with temperature, especially in the IR range, and paves the way for future applications in thermochromic materials.

1. Atomic layer deposition of vanadium oxides: process and application review. Mater. Today Chem. 12, 396–423 (2019).

AF-MoP-39 New ALD Processes for Y2O3 Using Molecularly Engineered Yttrium Formamidinates
Sebastian Beer, Nils Boysen (Ruhr University Bochum, Germany); Arbresha Muriqi (Tyndall National Institute, University College Cork); David Zanders (Ruhr University Bochum, Germany); Michael Nolan (Tyndall National Institute, University College Cork); Anjana Devi, Marcel Schmickler (Ruhr University Bochum, Germany)

Yttrium oxide (Y2O3) thin films have been a subject of intensive research, particularly as an alternative high-k dielectric, due to its high relative permittivity (er ~17-20) and large bandgap of 5.5 eV. Furthermore, its high chemical resistivity and mechanical strength facilitate its application as a passivation layer in many fields.

Atomic layer deposition (ALD) has been established as one of the most promising techniques for the growth of high-quality layers for the above mentioned applications. As the precursor selection plays a pivotal role in an ALD process, the development of compounds with an optimal combination of volatility, reactivity and thermal stability is needed.

Besides the established Y precursors, such as β-diketonates or cyclopentadienyls, the all-N coordinated class of the amidinates1 and guanidinates2 has emerged as a promising class for the fabrication of yttrium-based materials. Recently, the structurally related formamidinate (famd) ligand class (N,N’-dialkyl-formamidinato) was explored, exhibiting a high volatility, reactivity, and stability.3

In this study, we focused on the systematic molecular engineering of Y formamidinates to fine–tune the physicochemical properties through a variation of the alkyl side chains. Among the four evaluated precursors, the tertbutyl-substituted [Y(tBu2–famd)3] showed an increased thermal stability and high reactivity towards H2O, as revealed by thermal analysis and density functional theory (DFT) studies, respectively.

Subsequently, a thermal ALD process for Y2O3 using H2O as co-reactant was developed, yielding dense fcc-Y2O3 films on Si substrates with smooth topography. Owing to the appealing structural, compositional and morphological quality of the layers, the process was used to deposit Y2O3 as a dielectric component in metal insulator semiconductor (MIS) capacitor structures.4 The promising electric properties set a strong platform for in-depth studies to understand the interplay between precursor chemistry, ALD process development and integration in capacitor structures.

Literature:

1 de Rouffignac, P., Park, J.-S., Gordon, R. G., Chem. Mater., 2005, 17, 19, 4808-4814.

2 Mai, L., Boysen, N., Subasi, E., de los Arcos, T., Rogalla, D., Grundmeier, G., Bock, C., Lu, H.-L, Devi, A., RSC Adv., 2018, 8, 4987.

3 Boysen, N., Zanders. D., Berning, T., Beer, S. M. J., Rogalla D., Bock, C., Devi, A., RSC Adv., 2021, 11, 2565-2574.

4 Beer, S. M. J., Boysen, N., Muriqi, A., Zanders, D., Berning, T., Rogalla, D., Bock, C., Nolan, M., Devi, A., Dalton Trans., 2021, 50, 12944-12956. View Supplemental Document (pdf)
AF-MoP-40 Nb/V-Doped Transparent Conductive TiO2 Films Synthesized by ALD: Effect of Dopant Content and Growing Conditions
Getaneh Diress Gesesse (CIMAP ENSICAEN); Olivier Debieu (CIRIMAT, Université de Toulouse, CNRS, Université Toulouse); Aline Jolivet, Cedric Frilay, Sylvain Duprey, Xavier Portier, Christian Dufour, Philippe Marie, Christophe Labbé (CIMAP Normandie Université, ENSICAEN, UNICAEN, CEA, CNRS); Mohamad El-Roz (Laboratoire Catalyse et Spectrochimie, CNRS, ENSICAEN, Université de Caen); Julien Cardin (CIMAP Normandie Université, ENSICAEN, UNICAEN, CEA, CNRS)

Titanium dioxide (TiO2) is a wide bandgap (3.0-3.20 eV) material that presents high transparency in the visible range; besides, it has a high refractive index. TiO2 doping is widely investigated to induce structural and electronic modifications that may improve electronic properties and photocatalytic activities. Due to their additional electron compared to Ti and their atomic radius slightly above and below the one of Ti, Nb and V are the most promising candidates among the potential dopants of TiO2. In this context, this work aims to synthesize Nb/V-doped TiO2 films by atomic layer deposition (ALD) with various dopant concentrations and growing conditions.

Nb/V-doped TiO2 films were deposited on Si wafer and glass substrates with water as oxidizing agent using a shared ALD window for precursors niobium (V) ethoxide or vanadium (V) oxytriisopropoxide and titanium isopropoxide, respectively. The dopant content was adjusted by the dopant ratio (RNb or RV : range from 0 to 1), which is the number of dopant cycles over the total number of ALD cycles. Films were then annealed in N2 or forming gas (95% N2 and 5% H2) at different temperatures of 400, 500, and 600 °C for 1 hour. To investigate the characteristics of films, various techniques, such as spectroscopic ellipsometry, spectrophotometry, GI-XRD, Raman, FTIR, HRTEM, XRR, and four-probe resistivity were used for the as-deposited and annealed Nb/V-doped TiO2 films.

It was observed that when introducing Nb or V into the TiO2 matrix up to a doping ratio of 0.025, the thickness increased slightly compared to the undoped TiO2 film, and then gradually decreased as the dopant ratio increases further. For both dopants, the refractive index and the electronic density of films were found to evolve similarly and in the opposite way to their thickness. In the as-deposited Nb-doped TiO2 films with RNb = 0-0.025, the presence of the crystalline anatase phase was identified, but the peak intensity decreased and progressively shifted as RNb increased. In the case of the as-deposited V-doped TiO2 film, only an amorphous phase was obtained which transform into crystalline phase with annealing.

After annealing, the optical transmittance and electrical resistivity of anatase phase crystallized films were measured for Nb/V-doped TiO2 films. With increasing RNb, the films showed transmittance ranging from 60 to 80% in the visible range, which increase with the conductivity (∼102 S.cm-1). The optical and electrical properties of V-doped TiO2 film are also performed and will be further discussed.

This work highlights the significant role of Nb and V dopants in tuning the structural, optical, and electrical property of TiO2.

AF-MoP-44 Monte Carlo Simulation in a Laptop for Understanding Physical Interaction of Atomic Layer Deposition Precursors
Bonwook Gu, Ngoc Le Le, Chi Thang Nguyen, Sumaira Yasmeen, Kang Youngho, Han-Bo-Ram Lee (incheon National University)

Since the reaction of atomic layer deposition (ALD) strongly depends on surface property, understanding of surface reaction mechanism between substrates and precursors is essential to predict and interpret thin film deposition in ALD. Recently, the many researchers have studied chemical reactions of ALD using density functional theory (DFT) and physical reaction using molecular dynamic (MD). However, DFT is suit for calculating a few of molecule adsorption but not simultaneous multiple adsorptions, and MD is not proper for a large scale simulation due to huge computing resource and long calculation time. In addition, although the steric hindrance effect between the molecules is an important physical factor for simulation of ALD, but it was not considered as a main variable for simulation. In this study, by adopting several assumptions and approximations, we developed a simple simulation method to understand physical steric hindrance effects of ALD precursors by using Monte Carlo (MC) without huge computing resources and applied the method to study surface reaction mechanism of ALD and area selective ALD (AS-ALD). We calculated the areal coverage of precursor on a specific surface used in ALD and AS-ALD using the MC simulation with a random adsorption model. The simulation results show high consistency agreement with experiment data. Based on the 2D model developed first, we extended the MC simulation to 3D system, and obtained reliable results in bulky precursor systems. The simulation method developed in this study could be applied to many of ALD precursors and AS-ALD inhibitor systems just by using a laptop computer.

View Supplemental Document (pdf)
AF-MoP-47 A New Low Temperature PEALD Process for HfO2 Thin Films
Florian Preischel, David Zanders (Ruhr University Bochum, Germany); Aleksander Kostka (Ruhr-University Bochum, Germany); Detlef Rogalla, Anjana Devi (Ruhr University Bochum, Germany)

With its high permittivity and large band gap of Eg = 5.7 eV, HfO2 is of significant interest for high-κ dielectric layers and excellent resistance ratios as well as fast switching speeds are reported for HfO2 based memristor devices. To realize these microelectronic components, the deposition of pinhole-free thin films with an excellent uniformity and conformality is required. Owing to its saturative growth characteristics, atomic layer deposition (ALD) intrinsically fulfills these requirements. Plasma-enhanced ALD (PEALD) furthermore allows thin film depositions at low temperatures, as required for future flexible electronics, and is thus the method of choice. To enable the beneficial features of ALD, the physico-chemical properties of the precursor need to be carefully fine-tuned in order to optimize its thermal stability, volatility as well as reactivity. Looking for Hf precursors that fulfill these prerequisites, we investigated new heteroleptic Hf complexes. Starting from the parental Hf dialkylamide, we introduced a chelating formamidinate ligand that stabilizes and shields the Hf center, thereby increasing the thermal stability of the resulting complexe while retaining adequate reactivity and volatility. The resulting bis-(dialkylamido)-bis-(formamidinato) Hf(IV) precursor was synthesized on a multigram scale, structurally characterized and evaluated by thermogravimetric analysis and subsequently employed as a precursor for the deposition of HfO2 in a PEALD process. Using an oxygen plasma, the typical ALD characteristics of precursor saturation, linearity and ALD temperature window were demonstrated with constant growth of 0.7 Å per cycle from 125 °C to 200 °C on Si(100) substrates. The resulting HfO2 films were further characterized by RBS/NRA, XPS and AFM, revealing the formation of pure and smooth HfO2 layers. Compared to our previous work [1] with a closely related guanidinate precursor, shorter plasma pulses were sufficient to achieve ALD growth, preventing the formation of an interfacial SiO2 layer, as revealed by transmission electron microscopy (TEM). By coating polyimide (PI) foils at temperatures as low as 150 °C, there is a potential of implementing the presented low-temperature HfO2 PEALD process into the development of flexible electronic devices in the future.

[1] D. Zanders et al., ACS Appl. Mater. Interfaces, 106 (2019) 28407

View Supplemental Document (pdf)
AF-MoP-49 PE-ALD of SiO2 and Ti-doped SiO2 in High Aspect Ratio Structures using Low Cost Precursors
Véronique Cremers (Ghent University); Geert Rampelberg, Andreas Werbrouck, Jolien Dendooven, Christophe Detavernier (Ghent University, Belgium)

PE-ALD of SiO2 has been well studied in the past years, and has found a lot of applications as e.g. for advanced lithograpy, dielectric material in microelectronic devices, photovoltaics and optical applications. For these purposes where a high film quality is required in combination with a limited throughput, the use of more expensive precursors is justified. However, in recent years PE-ALD of SiO2 found its way towards high surface applications as e.g. the encapsulation of OLEDS or deposition of anti-reflective coatings where precursor cost is a much bigger issue.

In this work, the PE-ALD growth characteristics of SiO2 was investigated using four precursors in a different price setting: Bis(diethylamino)silane (BDEAS), (3-Aminopropyl)triethoxysilane (APTES),Tetraethyl orthosilicate (TEOS) and Hexamethyldisilazane (HMDS) (in order of high to low precursor cost price), in combination with oxygen plasma as a reactant.

Although it was possible to deposit SiO2 with all four ALD processes, a significant difference in growth rate was observed. The growth rate varied from 1 Å/cycle for BDEAS to 0.2 Å/cycle for the TEOS-based process (Figure 1). Further the conformality of the four processes was investigated using macroscopic lateral structures with an equivalent aspect ratio (EAR) of 22. While BDEAS and APTES showed an excellent conformality, TEOS and HMDS showed a coated EAR of only 1 and 2.5 respectively. Here the coated EAR is defined as the EAR where the deposited film thickness equals 50% of the original film thickness.
In order to enhance the growth rate and conformality of these last two processes, TiO2 subcycles were added to the TEOS and HMDS- based processes using titanium(IV)isopropoxide (TTIP) as a low-cost precursor. Both TixSi(1-x)O2 films showed a strong improvement in growth rate, from 0.2 to 0.5 Å/cycle for the TEOS-based process and from 3.5 to 6.2 Å/cycle for the HMDS-based process, using a 1 TTIP :9 TEOS/HMDS subcycle ratio. Besides the growth rate, also a strong improvement in conformality was observed as is shown in Figure 2. The coated EAR for the TEOS-based process increased from 2.5 to 17.5 and for the HMDS based process from 1 to 12 (in both cases for a 1:9 (TiO2:SiO2 subcycle ratio)).
These results could be promising when (no-pure) SiO2 films are required for high surface area applications where a low precursor cost is relevant.

View Supplemental Document (pdf)
AF-MoP-50 Importance of XPS Investigations of ALD Grown 2D Materials
Jhonatan Rodriguez Pereira, Raul Zazpe, Jan Macak (University of Pardubice)
The success of graphene opened a door for an extensive research and utilization of semiconducting 2D transition metal dichalcogenides materials (TMDCs) displaying a range of unique properties [1]. Molybdenum disulphide (MoS2), a TMDCs benchmark material, has been widely studied for multiple applications. In parallel, 2D diselenide and ditelluride analogues, i.e. MoSe2 and MoTe2, have also attracted important interest due to their intriguing properties, such as a higher electrical conductivity than that of MoS2 among others [2, 3].
Recently, we have demonstrated the ALD synthesis of both 2D MoSe2 [4-7] and 2D MoTe2 [8] (using an in-house synthesized precursors), as well as their outstanding performances in different applications. XPS turned a key tool to provide detailed chemical composition analysis of as-deposited 2D Mo-based TMDCs on substrates of different nature. Besides, the post-performance XPS characterization was appealing since the applications of the aforementioned 2D materials involved chemical and/or electronic processes on the surface and it enabled to identify potential chemical composition changes and physico-chemical photo-electro stability of the 2D TMDCs.
This presentation will thus focus on the XPS as key tool for assessment of chemical composition of both as-deposited and post-performance 2D Mo-based TMDCs, recent experimental results as well as the description of some inherent drawbacks that XPS must face during the analysis of the 2D materials.
References:
[1] A. V. Kolobov, J. Tominaga, Two-Dimensional Transition-Metal, Dichalcogenides. Springer Series in Materials Science, Springer International Publishing AG, Switzerland 2016
[2] D. Kong, H. Wang, J. J. Cha, M. Pasta, K. J. Koski, J. Yao, Y. Cui, Nano Lett. 2013, 13, 1341.
[3] A. Eftekhari, Appl. Mater. Today 2017, 8.
[4] R. Zazpe et al, FlatChem 2020, 21, 100166
[5] J. Charvot et al, Chempluschem 2020, 85, 576
[6] J. Rodriguez-Pereira et al, Surf. Sci. Spectra 2020, 27, 024006
[7] R. Zazpe et al, ACS Appl. Nano Mater. 2021, 3, 12, 12034
[8] R. Zazpe et al, Appl. Mater. Today 2021, 23, 101017.
AF-MoP-52 Atomic Layer Deposition of Cobalt(II) Fluoride Thin Films
Elisa Atosuo, Miia Mäntymäki, Kenichiro Mizohata, Mykhailo Chundak, Markku Leskelä, Mikko Ritala (University of Helsinki, Finland)

Cobalt(II) fluoride, among some other first-row transition metal fluorides, is an excellent cathode candidate for lithium-ion and sodium-ion batteries. These metal fluorides have high theoretical potentials and high energy densities compared to the current oxide-based cathodes. However, although ALD is recognized in lithium-ion and sodium-ion battery research in general, the number of ALD processes for fluoride-based battery materials has remained small. Particularly, these first-row transition metal fluorides have been lacking ALD processes. In this work, we present the first ALD process for cobalt(II) fluoride.

CoF2 was deposited using CoCl2TMEDA* and NH4F as precursors. The films were characterized with XRD, EDS, XPS, ToF-ERDA, SEM, and AFM. The deposition temperature range studied was 180–300 °C, and all the deposition temperatures resulted in tetragonal CoF2, as measured by XRD. Also XPS and ToF-ERDA confirm the films to consist of CoF2. The impurity content measured with ToF-ERDA is low. Most importantly, the amounts of Cl and N, which are constituents of the precursors, are low, for example 0.53 and 0.08 at-% for a film deposited at 250 °C. The combination of a chloride-based precursor and NH4F thus seems to work well in this case. The saturation of the growth per cycle with respect to pulse and purge lengths was confirmed at 250 °C, and the growth per cycle saturates to ~1.1 Å. In addition, the film thickness is linearly dependent on the number of applied cycles. Like many ALD metal fluorides, these films are rough, as seen in SEM and AFM. At a deposition temperature of 250 °C, for example, a ~60 nm film has a roughness of 12.6 nm.

*TMEDA: N,N,N′,N′-Tetramethylethylenediamine

AF-MoP-53 Mechanistic Aspects of the Indium Nitride Growth under Atomic Layer Deposition Conditions: A Multiscale Modelling Study
Giane Damas (IFM); Karl Rönnby (Linköping University, IFM); Henrik Pedersen, Lars Ojamäe (Linköping University)

The wurtzite form from Indium Nitride has semiconducting behavior that, combined with advantageous electron transport properties, has offered potential applicability of this material in the field of electronics and light-emitting diodes.1 The InN thin film is preferentially obtained using atomic layer deposition (ALD) techniques, with lower temperatures that are beneficial for the crystal stability and enable the utilization of ammonia precursor at such conditions.2 In the process, trimethylindium is a well-known In precursor that might undergo partial decomposition in the gas phase,3 resulting in the CH3 radical release that is expected to affect the initial steps of the reaction mechanism. In addition, this precursor usually leads to high level of carbon impurities that is inconvenient for large scale production. Therefore, this project aims at fully understanding the mechanistic aspects of the adsorption and reaction-related processes leading to the In-rich layer formation for InN crystal growth by using a multiscale approach that comprises density functional theory (DFT) and Kinetic Monte Carlo (KMC) computational techniques.

The atomic-scale periodic calculations are carried out within the Perdew–Burke–Ernzerhof (PBE) level of theory in VASP4. Initially, the thermal effects are neglected to enable a more extensive investigation of the relevant reaction pathways. Such effects are then added to approximate the model to the actual experimental conditions (T= 593 K, 1 bar). The outcome indicates that the initial decomposition steps whether they occur in the gas phase or at the surface both lead to the final product, i.e.methylindium (MI) chemisorbed at the hcp site and ethane. However, the N- rich layer leads to an activation of this process that is found to facilitate the precursor dissociation at the surface environment, with an activation enthalpy ΔH <20 kJ/mol for TMI/DMI displacement towards other stable adsorption sites. In a second step, the hydrogen atoms are subsequentially removed through the involvement of two additional precursor molecules to produce low-weight hydrocarbons. The results also suggest the origin of the carbon impurities to be the CH3 radical released during the process that in turn can form a strong chemical bond with the N-rich layer. From this point, all data necessary for the KMC simulation at the mesoscale level are acquired, which shall also be presented.

References:

1-Bhuiyan, A. et al. J. Applied Phys. 94, 2779 (2003).

2-Deminskyi, P. et al. J. Vac. Sci. Technol. A 37, 020926 (2019).

3-Hwang, J. et al. J. Electrochem. Soc., 155, 2 (2008).

4-Gresse, G. et al. Comput. Mat. Sci. 15, (1996).

AF-MoP-54 Simple Nanoscale Thermal Techniques for the Measurement of ALD Grown Thin Films
Thomas Pfeifer, Sara Makarem, Patrick Hopkins (University of Virginia, USA)
Measuring the thermal resistances associated with ALD / MBE grown films is critical for their design and use in the semiconductor industry, however traditional measurement techniques such as Time or Frequency Domain Thermoreflectance (TDTR and FDTR respectively) come with complications limiting their widespread adoption.
In these traditional thermoreflectance techniques, two laser beams serve to heat the sample (pump), and subsequently monitor changes in reflectivity (probe), which corresponds to changes in temperature.
In TDTR, the beams are pulsed, and the temperature decay over time is measured. This requires the use of a precisely aligned and calibrated delay stage to temporally offset the pump and probe pulses, making this technique impractical for many. In FDTR, a pulsed or CW beam can be used, and the phase offset between sinusoidal heating and a sinusoidal temperature response is monitored. Difficulties in eliminating a frequency-dependent systematic phase offset may make robust use of this technique difficult. in a more recently developed technique, Steady State Thermoreflectance (SSTR), the magnitude of the temperature response is monitored with varying pump intensity. This is limited to the measurement of one single parameter at a time however, and is typically only sensitive to the dominant thermal resistance in the system. SSTR also requires the use of a reference sample with an identical transducer in order to correlate a voltage as measured via the probe’s photodetector to a known temperature rise.
We combine the principles behind SSTR (where the magnitude of the temperature response is roughly proportional to the net resistance), with that of FDTR (where the frequency-dependent response of the material system depends on all thermal properties). By examining the magnitude of the reflectivity response at multiple frequencies, we may now simultaneously measure multiple thermal parameters, such as thermal conductivity, volumetric heat capacity or thermal boundary conductance. This also enables the tightening of uncertainty of measured parameters.
To validate this approach, we measure a variety of thin ALD-grown films (2-100nm) on several substrates (sapphire, silicon, diamond). All samples have an 80nm aluminum transducer deposited on top, and we measure the net thermal resistance across the ALD-grown film, in addition to the thermal conductivity of the substrate itself. We also measure bulk substrates, demonstrating the simultaneous measurement of thermal conductivity and heat capacity. We include robust uncertainty analysis to establish upper and lower bounds of measured values, and validate these results with an in-house TDTR system.
AF-MoP-55 Investigation of Tris(trialkylsilyl)Phosphides in Atomic Layer Deposition
Jaroslav Charvot (University of Pardubice); Maissa Barr, Julien Bachmann (University of Erlangen-Nürnberg, Germany); Filip Bureš (University of Pardubice)

Phosphides are interesting materials among wide variety of scientific fields. InP and GaP are the most profound semiconductors with application in photovoltaics or electronics. Several ALD depositions of metal phosphides were already presented. Apart from one reported reaction of P(NMe2)3 with GaMe3 affording GaP,[1] PH3 or its alkylated analogue tBuPH2[2]are usually used as a source of P-III ion. Nevertheless, except high toxicity of PH3, depositions using this precursor are often accompanied with lower reactivity supplemented by plasma activation[3] or laser irradiation.[4] PH3 may also decompose during the deposition causing high content of phosphorus resulting in non-linear growth.

Trialkylsilyl ligand were utilized in ALD of As,[5] Sb[6] Se[7,8] and Te[7] several times. Its electropositive nature generates negative charge on the deposited atom ensuring high reactivity, while keeping good volatility and thermal stability. For example, tris(trimethylsilyl)phosphide is a favorite precursor for metal phosphide quantum dots. It is fairly volatile and can be distilled even at laboratory pressure. Interestingly, no ALD deposition using this class of precursor has been reported for so far. Therefore, this work aims to investigate tris(trialkylsilyl)phosphides as a potential ALD precursors. Preparation of these molecules will be discussed along with structure/thermal properties relationships and selected phosphides will be tested for thin film deposition in ALD.

[1] E. Graugnard, V. Chawla, D. Lorang, C. J. Summers, Appl. Phys. Lett.2006, 89, 211102.

[2] N. Otsuka, J. Nishizawa, H. Kikuchi, Y. Oyama, J. Vac. Sci. Technol. A Vacuum, Surfaces, Film.1999, 17, 3008.

[3] A. V. Uvarov, A. S. Gudovskikh, V. N. Nevedomskiy, A. I. Baranov, D. A. Kudryashov, I. A. Morozov, J. P. Kleider, J. Phys. D Appl. Phys.2020, 53, 345105.

[4] M. Yoshimoto, A. Kajimoto, H. Matsunami, Thin Solid Films1993, 225, 70–73.

[5] T. Sarnet, T. Hatanpää, M. Laitinen, T. Sajavaara, K. Mizohata, M. Ritala, M. Leskelä, J. Mater. Chem. C2016, 4, 449.

[6] V. Pore, K. Knapas, T. Hatanpää, T. Sarnet, M. Kemell, M. Ritala, M. Leskelä, K. Mizohata, Chem. Mater.2011, 23, 247–254.

[7] T. Hantapää, V. Pore, M. Ritala, M. Leskelä, Electrochem. Soc.2009, 25, 609–616.

[8] R. Zazpe, J. Charvot, R. Krumpolec, L. Hromádko, D. Pavliňák, F. Dvorak, P. Knotek, J. Michalicka, J. Přikryl, S. Ng, V. Jelínková, F. Bureš, J. M. Macak, FlatChem2020, 21, 100166.

AF-MoP-56 Plasma Enhanced Atomic Layer Deposition of Nickel Oxide from Nickelocene: Growth Characteristics and Photoelectrochemical Performance
Shane O'Donnell, Feljin Jose, Matthew Snelgrove , Caitlin McFeely , Robert O'Connor (Dublin City University)

Owing to its relatively low cost and suitable band edge positions, n-type silicon has been widely investigated as a promising candidate for photoelectrochemical (PEC) water splitting photoanodes. However, its PEC performance is hampered due to rapid corrosion from the electrolyte solution rendering the silicon photoanode inoperable within a short period of use. NiO thin films deposited via atomic layer deposition (ALD) have been used as protective coatings to lessen this corrosion in an effort to enhance PEC performance through distancing the oxidation reaction from the n-Si photoanode surface and operating as an oxygen evolution reaction catalyst 1.

We investigate growth kinetics of a plasma enhanced ALD process as well as the PEC performance of NiO thin films fabricated using nickelocene with O2 plasma co-reactant. We compare the performance of as-deposited NiO films with those reduced to Ni metal by including short H2 plasma steps during the deposition recipe, followed by a long H2 plasma anneal. Films are investigated in detail using quasi in-situ x-ray photoelectron spectroscopy (XPS), without vacuum break. PEC performance was measured to link the effects of varying film deposition parameters and processes on photocurrent output and film surface chemistry.

Through the investigation of various NiO film thicknesses and post deposition treatments, post deposition H2 plasma annealing of all films thicknesses showed a reduction in photocurrent output and sample stability due to susceptibility to etching effects of the plasma treatment. From the work performed on supercycle deposition processes it is observed that the deposition of Ni films in continuous growth conditions with a post deposition H2 plasma anneal results in films exhibiting greater contributions of Ni metal along with elevated carbon composition resulting from residual ligands being incorporated in the film during growth. Films where H2 exposure takes place during the growth process exhibit a lower relative carbon contribution due to the reduction of remnant ligands distributed throughout the film. The presence of Ni metal is also seen to be lower in sequentially grown films when compared to their post deposition annealed counterparts of the same number of ALD cycles

1 Ke Sun. etal. Energy Environ. Sci. 2012, 5 (7), 7872–7877

AF-MoP-57 Computational Investigations of Precursor and Deposition Chemistry in ALD and AS-ALD
Ralf Tonner-Zech (Leipzig University)
The mechanistic details of many ALD processes are hardly understood. Despite the progress made by seminal work of Elliott and others, the chemical complexity of ALD processes requires the continued investigation of many aspects for the precursors and surface chemistry when the target is a comprehensive understanding by theoretical methods. We will show our recent progress made by applying density functional theory and electronic structure analysis approaches to understand aspects of ALD in more detail. Our aim is thereby always to cover the full periodicity of the growth surface to enable a realistic modelling of the experimental conditions. For selected aspects of the ALD process, gas phase screening approaches are suitable, which has been shown in the past and will be validated for our target systems here. We will also show that these investigations can be extended to area-selective ALD (AS-ALD) processes by small-molecule inhibitors (SMIs) and that theoretical modelling can play a crucial role in precursor and SMI design as well as uncovering the underlying principles.
AF-MoP-59 The Impact of Oxygen Reactants on ALD InOx films using novel dimethyl[N1-(tert-butyl)-N2,N2-dimethylethane-1,2-diamine]indium precursor
Seong-Hwan Ryu, TaeHyun Hong, Su-Hwan Choi (Hanyang University, Korea); Jang Hyeon Seok, Jung Woo Park (Hansol Chemical); Jin-Seong Park (Hanyang University, Korea)
The oxide semiconductor has been attention due to its high mobility, low growth temperature, and low off-current characteristics (~1018 μA/μm). In particular, there are several reports about the indium oxide (InOx) based materials such as IGZO, IZO, IGO, and IGTO, because it has low resistivity due to the large overlap between indium 5s orbitals forming the electron conduction path. Meanwhile, in terms of deposition technique of oxide semiconductor, atomic layer deposition (ALD) is attractive due to excellent control of the thickness and composition control during deposition. In this regard, several studies are reported depositing InOx with ALD, however, there are few studies about the growth mechanism such as precursor ligand and reactant. In this study, we deposited InOx using ALD with novel indium precursor and different reactants. The InOx grown with different reactants exhibits different material properties including crystallinity and electrical properties even at the same low growth temperature. For InOx analysis depending on different growth temperatures with the different reactants, we conducted X-ray photoelectron spectroscopy (XPS), X-ray diffraction (XRD), grazing-incidence wide-angle X-ray scattering (GI-WAXS), X-ray reflectometry (XRR) and Hall measurement.
AF-MoP-60 Development of an Innovative Method to Find New Efficient Gallium ALD Precursors
Paul-Alexis Pavard (CNRS-IPVF); Corinne Gosmini (LCM, École Polytechnique CNRS); Daniel Lincot (CNRS-IPVF); Valérie Albin, Virginie Lair, Armelle Ringuede (Chimie ParisTech, PSL University, CNRS); Audrey Auffrant (LCM, École Polytechnique CNRS); Nathanaelle Schneider (CNRS-IPVF)

Atomic Layer Deposition (ALD) is a booming technology to deposit thin films and has been applied in several fields. This technique is based on surface-chemical reactions, and relies on the gas phase transport of metal containing molecules into a reaction chamber. However, not any molecule is suitable to be used as precursor, as they must be thermally robust while being sufficiently volatile and chemically labile to react with the surface functional groups. Organometallic chemistry offers an infinite set of options to design new efficient precursors, though predicting their volatility and reactivity in the ALD chamber remains tricky.[1] Establishing a new method to assess the physical and chemical properties of complexes would grant access to new ALD precursors and a better understanding of surface reactions.

This communication focuses on the development of new efficient gallium precursors to be used in the ALD of oxygen-free gallium-containing sulfide thin films.[2] A series of gallium complexes with chelating nitrogen based ligands (guanidinate, amidinate and triazenides) were synthesized and characterized (NMR, XRD) thanks to modular procedures.[3,4] To assess the thermal stability of the reagents and shed light on their transport in ALD, thermal analysis (TGA, DSC) were realized under N2 and vacuum to mimic transport conditions. Finally, reactivity studies in solution of established ALD precursors and synthesized complexes provide an insight of surface reactions which might take place in an ALD chamber.

References:

[1] S. E. Koponen, P. G. Gordon, S. T. Barry, Polyhedron2016, 108, 59–66.

[2] N. Schneider, M. Frégnaux, M. Bouttemy, F. Donsanti, A. Etcheberry, D. Lincot, Materials Today Chemistry2018, 10, 142–152.

[3] A. P. Kenney, G. P. A. Yap, D. S. Richeson, S. T. Barry, Inorganic Chemistry2005, 44, 2926–2933.

[4] S. Dagorne, R. F. Jordan, V. G. Young, Organometallics1999, 18, 4619–4623.

AF-MoP-61 Detailed Characterization of Bis(tri-isopropylcyclopentadienyl)strontium(Sr(iPr3Cp)2) for the Understanding of SrTiO3 Atomic Layer Deposition
Young Jae Park (Samsung Advanced Institute of Technology); Jaeseo Park (Korea Research Institute of Standards and Science); Jungim Han, Juhyung Lim, Byoungki Choi (Samsung Advanced Institute of Technology); Sang-Woo Kang (Korea Research Institute of Standards and Science); Chul Baik (Samsung Advanced Institute of Technology)

The detailed characterization of Bis(tri-isopropylcyclopentadienyl)strontium(Sr(iPr3Cp)2) precursor was conducted to understand growth mechanism in atomic layer deposition of SrTiO3 thin films. First, the adsorption behavior was studied using an in-situ attenuated total reflectance-Fourier transform infrared spectroscopy (ATR-FTIR). The band of the Sr(iPr3Cp)2 spectrum on the Ge crystal surface was identical to that of the spectrum measured in the gas phase, but peak intensity was different. In addition, the absorption characteristics studies were carried out over the Ge crystal temperature in the range of 40∼100oC. Upon increasing the temperature, a reduction of absorption was observed. Second, to investigate the volatility of Sr(iPr3Cp)2, vapor pressure curve was determined using thermogravymetric analysis. This method can reduce both precursor amount and time required for the vapor pressure measurement. Furthermore, molecular simulation was applied to explain the interrelationship between those properties evaluated in this study and molecular structure. Our study to understand the detailed behavior of precursor can be provided as useful information for optimization of ALD process and new precursor design.

AF-MoP-62 New Potential Synthesis Route of Molybdenum Nitride Nanosheets by Nitriding Molybdenum Disulphide Deposited by Atomic Layer Deposition (ALD)
Julien Patouillard (SIMAP, Grenoble-INP, CNRS)

The first syntheses of transition metal nitrides are derived from metallurgical processes and consisted in nitriding a powder of the metal or one of its oxides (Oyama, 1992; Toth, 1971). These nitrides were synthesized under severe conditions (T > 1200 °Cz and had low specific surfaces (Marchand et al., 1996). Subsequently, the development of catalytic applications requiring nitride powders with large specific surfaces made it necessary to use processes with more moderate temperatures (700 °C to 900 °C) (Marchand et al., 1996, 1999). These “softer” synthetic routes have developed and been applied to the formation of nitrides from transition metal sulfides.

Among the transition metal disulfides, molybdenum disulfide (MoS2)is one of the most widely studied materials in recent years to synthesis molybdenum nitride due to its availability (E. R. Braitwaite & J. Haber, 1994). MoS2 has a natural two-dimensional structure with the sandwich-like S-Mo-S layers serving as building blocks, in which the atoms in the layer are bonded with strong covalent bonding, while the layers are packed together with weak interlayer forces (Jariwala et al., 2014; Li & Zhu, 2015). In recent years, the emergence of 2D materials and the increase in the demand of metal nitrides nanosheets due to their remarkable physical and chemical properties such as high electrical conductivities, catalytic properties, energy storage, and conversion efficiency aroused a particular interest (Khazaei et al., 2013; Wang & Ding, 2018; Xiao et al., 2017, 2018; Zhong et al., 2016). Thereby, some research group(Sun et al., 2018),(Cao et al., 2020) have demonstrated the transformation of MoS2 nanosheets exfoliated from bulk material into molybdenum nitride nanosheets with ammonia and urea reactive heat treatments, respectively.

In this work, we proposed a method to transform a well-controlled uniform MoS2 thin film deposited by Atomic Layer Deposition into molybdenum nitride (MoNx) nanosheets via an ammonia reactive heat treatment at 700 °C supported by in-situ reflectance measurements and ex-situ Raman and X-Ray Photoelectron spectroscopy characterizations.

AF-MoP-64 ALD Process Monitoring and Optimisation by Self-Plasma OES
Mantas Drazdys (Centrer for Physical Sciences and Technology); Darija Astrauskyė (Center for Physical Sciences and Technology); Ramutis Drazdys (Center fro Physcial Sciences and Technology); Martynas Audronis (Nova Fabrica Ltd.)

This work reports on the application of Self Plasma Optical Emission Spectroscopy (SPOES) to perform Process Gas Analysis (PGA) to monitor and optimize thin film atomic layer deposition (ALD) process, carried out using metalorganic precursors and water vapor or oxygen plasma as oxidizers. Depositions were carried out at 150℃ using N2 or Ar as carrier and purging gas. The ALD cycle consisted of four steps: (a) metalorganic precursor pulse, (b) purge, (c) oxidant pulse, and (d) purge. Purge times varied in the range of 2 – 120 seconds to find the optimal value based on the PGA results. We performed SPOES PGA using Broadband Plasma Emission Monitoring (2B-PEM) of an inverted magnetron-based plasma reactor attached to the ALD process exhaust. The miniature plasma reactor can operate at pressures 7.5e−7 Torr to 7.5 Torr. The sensor signals derived from SPOES data react instantly to composition changes revealing trace amounts of constituents of the process material. We demonstrate how real-time process diagnostics, pump-down monitoring, process condition recognition and end-point detection, all taking place in parallel, facilitate ALD process yield maximisation and reaction by product residue in thin films prevention. Furthermore, the gas analysis technology demonstrated does not require additional (differential) pumping systems to perform analyses.

AF-MoP-65 Growth of Boron Nitride by Atomic Layer Deposition Using the in-Situ Decomposition of Ammonium Carbamate
Ana Álvarez-Yenes, Mato Knez (CIC nanoGUNE)

Boron nitride (BN) is a binary compound of boron and nitrogen alternatively linked which can exist in various crystalline forms, all of them analogous to the carbon allotropes. In each of its forms, BN presents interesting properties that make it a useful material in different applications, especially remarkable in nanotechnology.

The aim of this work is to develop and characterize a new Atomic Layer Deposition (ALD) process for the growth of boron nitride thin films. So far, this has been achieved by using ammonia as the nitrogen providing precursor in combination with a boron halide at temperatures above 400oC. The interest is to obtain boron nitride thin films at reaction temperatures below 275oC. In this work, ammonium carbamate (NH4[H2NCO2]) and boron tribromide (BBr3) are used as precursors. NH4[H2NCO2] is a solid at room temperature which easily decomposes giving a CO2/NH3 mixture that can substitute ammonia, making the laboratory work safer and simpler. This work focuses on the analysis of the dependence of the growth rate of the process on its different parameters, aiming to optimize the deposition and predict the thickness of the grown films.

The thin films deposited using this process have been analyzed by means of X-Ray reflectivity, X-Ray photoelectron spectroscopy and electron energy loss spectroscopy among other techniques to conclude that the material deposited is amorphous boron nitride with a 1:1 stoichiometry.

AF-MoP-66 Modelling Atomic Layer Deposition of Noble Metals
Sylwia Klejna (AGH University of Science and Technology, Academic Centre for Materials and Nanotechnolog)

The major challenge in metal ALD is the reduction process to yield the metallic target film from metal source that usually comprise of metal cation surrounded by anionic ligands. Existing strategies involve using reducing agent or, counterintuitively, oxidizing agent as second co-reagent. Using reducer as co-reagent, e.g. H2, can lead to an abbreviated cycle, and reduced rate of deposition, when stable metal hydrides are not available. In process using oxidizing agent, e.g. O2, transient metal oxide surface may be generated and that can greatly facilitate noble metal ALD [1]. In this scenario the reduction of metallic center is a result of precursor decomposition at this catalytic surface. The higher growth rate is thus achieved, because the metallic film forms also in the processes of combustion of ligands by the oxidizing agent, however with the danger of surface poisoning and oxide deposition.

Thus, the second co-reactant role is crucial. It is used to eliminate the surface bound species of the metal pulse and, at saturation, to produce a reactive overlayer – the catalytic oxide surface, which is characteristic for a particular noble metal. We therefore first investigate the thermodynamics to understand the self-limiting surface chemistry of the oxidizing co-reagent. We use density functional theory (DFT) to establish order of reactivity as a function of temperature and pressure of noble metals (Ru, Rh, Pd, Ag, Os, Ir, Pt, Au) to form oxides. Next, we examine the thermodynamics of ALD process that includes the transient generation of noble metal oxide.

Finally, we investigate reaction steps involved in the metal nucleation on the example of Pt ALD from MeCpPtMe3 and O2. We evaluate whether the nuclei of the catalytic surface can be formed during the O2 co-reactant pulse, i.e. when oxidizing agent is introduced into the ALD chamber to combust hydrocarbon-based ligands into the volatile by-products (e.g. CO2, H2O). We discuss the possibility of production of transient surface bound OH groups predicted in previous study [2] and other by-products, e.g. CH4 identified in the experiment [3]. The factors that facilitate nucleation are examined. This will allow to propose appropriate reagents and chemical processes to control and improve efficiency of the atomic layer deposition of noble metals.

  1. The Journal of Chemical Physics, 2017, 146, 052822.
  2. Langmuir, 2010, 26, 9179-9182.
  3. Physical Chemistry Chemical Physics, 2018, 20, 25343-25356.
AF-MoP-67 Effect of the N-Source on the Growth and Quality of Pe-ALD Tin Thin Films
Clémence Badie (Aix-Marseille University); Maïssa Barr, Julien Bachmann (Friedrich-Alexander-University Erlangen-Nürnberg (FAU)); Thomas Defforge, Gaël Gautier (GREMAN, CNRS, Univ. Tours); Lionel Santinacci (Aix-Marseille University)

The thermal ALD of TiN is a well-documented process. The common precursors combination is TDMAT/NH3, for thermal as well as for plasma-enhanced ALD (PE-ALD). However, PE-ALD offers a larger variety of co-reactant (N-sources): N2, H2 and N2/H2. Building the ALD window of TiN using the recipes from our manufacturer, the PE-ALD processes exhibit a longer cycle duration associated to a slower GPC regarding to the thermal ALD one. This is unexpected since the plasma should enhance the production of reactive species and then promote the deposition process. The GPC should therefore be higher. The aim of this work is to optimize the PE-ALD recipes using two different N-sources: NH3 and N2. In addition to shorten the deposition duration, the effects of those N-sources as well as their dilution in Ar and the plasma power on the final properties of the films are also studied. The influence of those parameters has been monitored by in situ characterizations (ellipsometry and optical emission spectroscopy, SE and OES, respectively) and by ex situ characterizations (morphology, composition, crystalline structure and electric properties).

The recipe parameters are adjusted to limit the recombinations of the reactive species generated between the remote plasma source to the substrate. For instance, a large Ar dilution of both N2 and NH3 limits the film growth (lower GPC). A gas ratio of 1:1 for N-source and Ar flow is set to the optimal values. This is correlated with the OES spectra presenting that in diluted condition, the intensity of the Ar pics is predominant compared to the N-sources one. This suggests that high Ar dilution hinders the generation of N-reactive species leading to a reduction of the number of reactive species involved in the deposit growth and then, to lower GPC, mainly for N2 plasma. The applied power (50 to 300 W) has no significant effect on the GPC with NH3 plasma while, for N2-based plasma, the GPC is maximum at the highest power. This is consistent with the expected low reactivity of N2 (inert without plasma activation) as compared to highly reactive NH3. Note that using NH3, a thermal contribution cannot be discarded. Those results indicate that producing less active species facilitate their transport by limiting recombinations.

The films grown from both N-sources have a similar roughness, composition and morphology. However, the conductivity, conformality on high aspect ratio substrates (1:25) and the growth rate are better using NH3-based plasma. The N2 plasma process exhibits an acceptable film quality and it should be considered as well since it uses a non-harmful gas.

AF-MoP-68 Silicon Nitride Grown by VHF (162 MHz) Plasma Enhanced Atomic Layer Deposition With Floating Multi-Tile Electrodes Using Di(Isopropylamino)Silane and N2 Plasma
You Jin Ji, Hae In Kim, Ki Hyun Kim, Ji Eun Kang, Doo San Kim (Sungkyunkwan University (SKKU)); Ki Seok Kim (Massachusetts Institute of Technology); Dong Woo Kim (Sungkyunkwan University (SKKU)); Albert Rogers Ellingboe (Dublin City University); Geun Young Yeom (Sungkyunkwan University (SKKU))

To satisfy the requirements for applications of silicon nitride (SiNx) at the highly scaled logic and memory devices, high quality films (i.e., low contamination, low roughness, etc.) with high conformality at low temperatures are demanded. To meet the stringent requirements for SiNx applications, plasma enhanced atomic layer deposition (PEALD) is being extensively investigated as the deposition technique. However, the minimization of plasma damage and lowering the process temperature still remain as issues for SiNx PEALD processes. In this work, the properties of PEALD SiNxfilms deposited at a low process temperatureof100oCwithdi(isopropylamino)silane(DIPAS)andN2plasmaexcitedbyveryhighfrequency(VHF,162MHz)capactivelycoupledplasma (CCP) sources with a floatingmulti-tile type electrode and a conventional diode type electrode are investigated and compared in addition to the plasma characteristicsofbothplasmasources. ThePEALDSiNxfilmdepositedusing thefloating multi-tile electrodeexhibitedhighergrowthrate(~0.6Å/cycle),morestoichiometricfilm (N/Si~0.98), andhigherconformalityinatrenchcomparedtothosedepositedbytheconventional VHF CCP.Inaddition,theimprovedelectricalcharacteristicscouldbeobtainedwiththefloatingmulti-tile electrode.The improved properties are believed to be related to the higher plasma density, higher radical density, and lower ion energy bombarding the substrate observed for the multi-electrode type through the enhanced power coupling efficiency between the pairs of multi-electrodes in the plasma source.

AF-MoP-69 Computational Fluid Dynamics Study of ALD Processes: Benchmarking and Validation
Gizem Ersavas Isitman, Daulet Izbassarov, Riikka L. Puurunen, Ville Vuorinen (Aalto University)
Computational fluid dynamics study of ALD processes: Benchmarking and Validation
Gizem Ersavas Isitman1 , Daulet Izbassarov1 , Riikka L. Puurunen2 , Ville Vuorinen1
1. School of Engineering, Department of Mechanical Engineering, Aalto University

2. School of Chemical Engineering, Department of Chemical and Metallurgical Engineering, Aalto University

Atomic layer deposition (ALD) is an advanced gas-phase deposition technique [1]. ALD technology is exceptionally effective for obtaining high-quality and conformal coating on high aspect ratio structures e.g in microelectronics, nano-materials, etc. These applications often require high manufacturing costs. Numerical methods provide a great opportunity to optimize the manufacturing process.

In this research, the purpose is to better understand ALD using computational fluid dynamics (CFD) in a porous medium by investigating how the reactive gas flow interacts with the catalytic surface. The ALD process is modeled using a CFD tool called aldFOAM [2]. The surface reactions are based on the irreversible Langmuir kinetics. The approach is validated against standard benchmark problems for non-reacting and reacting cases. First, the solver is validated against non-reacting flow past a single particle and tube banks (e.g Fig.1). Next, the irreversible Langmuir surface kinetics model in aldFoam solver is validated against reference data [3] for high aspect ratio structures. After the validation, the method is applied to investigate the surface coverage on different 2D and 3D shapes (e.g Fig.2) under a range of parameters such as pressure and Reynolds number (Re). It is found that the time to fully cover the surface decreases with increasing partial pressure and Re.

References
[1] J.R. van Ommen, A. Goulas, and R.L. Puurunen, “Atomic layer deposition,” in Kirk Othmer Encyclopedia of Chemical Technology, John Wiley & Sons, Inc., 2021, 42 p. https://doi.org/10.1002/0471238961.koe00059
[2] A. Yanguas-Gil, J.A. Libera, and J.W. Elam, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 39, 062404 (2021).
[3] M. Ylilammi, O.M.E. Ylivaara, and R.L. Puurunen, Journal of Applied Physics 123, 205301 (2018).
View Supplemental Document (pdf)
AF-MoP-70 Feature-Scale Conformality of Atomic Layer Deposition from Continuum to Free Molecular Flow: How Knudsen Number Influences Thickness Profile Characteristics
Jorge Velasco, Christine Gonsalves (Aalto University, Finland); Gizem Ersavas Isitman, Jihong Yim, Emma Verkama, Daulet Izbassarov, Ville Vuorinen, Riikka Puurunen (Aalto University)

Atomic layer deposition (ALD) is often chosen over other techniques for thin film growth or surface modification because of its conformality, which originates from the self-terminating nature of the reactions used [1]. It is of paramount importance to understand how the conformality in high-aspect-ratio (HAR) surface features evolves with time and depends on process parameters and chemistry. Many simulation frameworks are available to model ALD growth in HAR features [2,4]: diffusion–reaction models, Monte Carlo models and ballistic models. Most simulation frameworks work in the free molecular flow conditions, where kinetic information of the reactions can be extracted from an experimental thickness profile by a simple slope method [3,4].

As seen in Figure 1, the thickness profile characteristics such as the half-thickness penetration depth x50% and the slope at this half-thickness penetration depth, strongly depend on the Knudsen number in other diffusion conditions than free molecular flow. While x50% can be taken as a simplistic measure for “conformality”, the slope carries information of reaction kinetics, specifically of the sticking coefficient. To make interpretations on kinetics from experimental thickness profiles, understanding the flow conditions is of central importance. Specifically, assuming Knudsen flow when it is in reality not valid, would lead to incorrect (too high) interpretation of the sticking coefficient.

Recently [4], we showed that the way the process parameters affect the simulated thickness profile in HAR structures, depends on the diffusion regime: free molecular flow (Knudsen number Kn >> 1) has partly different trends than transition flow (Kn ~1). In this work, we extend the simulations to continuum conditions (Kn << 1). In addition to the previously used 1d diffusion–reaction model [4,5], in this work we also use computational fluid dynamics (CFD) calculations to investigate the processes in 3d.

This work was supported by the Academy of Finland (ALDI project).

References

[1] J.R. van Ommen, A. Goulas, and R.L. Puurunen, “Atomic layer deposition,” in Kirk Othmer Encyclopedia of Chemical Technology, John Wiley & Sons, Inc., 42 p, (2021).https://doi.org/10.1002/0471238961.koe00059.

[2] V. Cremers et al., Applied Physics Reviews, 6(2), 021302, (2019).

[3] K. Arts et al., J. Vac. Sci. Technol. A, 37, 030908, (2019).

[4] J. Yim and E. Verkama et al., Phys. Chem. Chem. Phys., in press,

https://doi.org/10.1039/D1CP04758B

[5] M. Ylilammi, O. Ylivaara, and R.L. Puurunen, J. Appl. Phys., 123, 205301, (2018).

View Supplemental Document (pdf)
AF-MoP-71 Nucleation Studies of Copper ALD on SiO2 and Si3N4
Krzysztof Mackosz (Empa - Swiss Federal Laboratories for Materials Science and Technology ); Aleksandra Szkudlarek (AGH University of Science and Technology, Academic Centre for Materials and Nanotechnology); Ivo Utke (Empa - Swiss Federal Laboratories for Materials Science and Technology)
Nucleation of ALD metal layers shows several key problems, among others, are nucleation delay and island growth, which prohibit the formation of thin compact metal films. To shed more light on the process of nucleation, we have performed a comprehensive electron microscopy study of the early growth stages of copper films prepared by means of the ALD protocol reported by the group of Karppinen [1] optimized for a hot-wall reactor.
Cu(dmap)2 and HQ were used to grow copper by varying the growth temperature in the range of 120-160°C. Films were grown on Si substrates of different orientations covered with native oxide, on Si/SiO2 substrates with different thicknesses of oxide layer, and Si3N4 membranes. We have observed island growth irrespective of substrate type for a few hundreds of ALD cycles. Moreover, the growth mechanism is influenced by other factors such as the temperature of the substrate as well as the precursor flux. We will report on the coverage density, distribution of island size and chemical composition differs depending on growth conditions. We have resolved mixed compositions varying from metallic copper to copper oxide based on TEM studies. Both, Cu(I) and Cu(II) oxides are present in all the films studied. It is uncertain whether the oxide phase was deposited, or it is formed upon exposure to air during samples transfer from ALD reactor to TEM experimental chamber.
[1] D. J. Hagen, et al., Dalton Trans., 2018,47, 15791-1580
AF-MoP-72 Photocatalytic Degradation of Harmful Pollutants to Improve Indoor and Outdoor Air Quality
Tobias Graumann, Sven Pleger, Claudia Jacobs, Christian Beyen, Volker Sittinger (Fraunhofer Institute for Surface Engineering and Thin Films IST)

The application of photocatalytic materials in air treatment and air-borne pollutant remediation has been well established. Photocatalytically active materials used in urban areas on a commercial base can include paints, tiles, and concretes which mainly utilize TiO2 as the photocatalyst material. Feasibility studies demonstrated the potential of those materials for the use of mineralizing organic compounds into carbon dioxide, water and corresponding mineral acids. Of special interest is the decomposition of nitrogen oxides with a main focus on NO and NO2. A review of the photocatalytic effectiveness for outdoor applications is not always possible due to the simultaneous variation of other parameters, such as traffic density and weather conditions. Therefore, for the simulation of air pollutants numerical models for the release calculation in the atmosphere, the transport of pollutants in the gas phase, and the interaction with solid surfaces are used.

The determination of the deposition rate of available photocatalytic materials is currently limited to examining those embedded in matrices and formulations, e.g. for concrete surfaces, roof tiles or plaster, since these currently have the largest commercial proportion of photocatalytically active products. An evaluation of other, especially vacuum-based, coating processes for the deposition of TiO2 layers has not yet taken place. To create a basic understanding of the essential process parameters influencing photocatalytic NO oxidation thermal atomic layer deposition is used.

In this work suitable process windows for the deposition of photocatalytic TiO2 are identified and evaluated with a main focus on the precursor combinations TiCl4/H2O, TiCl4/O3, TTIP/H2O, TTIP/O3 and TiCl4/TTIP. Process parameters affecting the crystallinity of the TiO2 layers and thus the photocatalytic effectiveness and the process-related layer properties with different process temperatures and layer thicknesses are determined.

For a cross-method and unified comparison of the TiO2 layer properties the photocatalytic oxidation of methylene blue in aqueous solution and the degradation of nitrogen monoxide in a photoreactor are compared with each other as well as the kinetic modelling which was observed and simulated during NO degradation.

AF-MoP-73 High Vacuum Chemical Vapour Deposition: High Growth Rate ALD-Like Film Synthesis and Epitaxial CVD for Integrated Photonics
Wojciech Szmyt, Jacqueline Geler-Kremer (Empa, Swiss Federal Laboratories for Materials Science and Technology, Thun, Switzerland); Stefan Abel (Lumiphase AG, Switzerland); Jean Fompeyrine (Lumiphase, AG, Switzerland); Patrik Hoffmann (Empa, Swiss Federal Laboratories for Materials Science and Technology, Thun, Switzerland)

In high-vacuum chemical vapour deposition (HV-CVD), heated substrates are exposed to continuous precursor fluxes from orifices in the precursor delivery system. Owing to the HV conditions, the precursor trajectories are ballistic, therefore the fluxes can be evaluated analytically. By blocking of individual effusion orifices, a range of different combinations of precursor fluxes can be explored in a single synthesis, which vastly accelerates the process optimization for the desired film properties. This approach is referred to as combinatorial deposition.

Moreover, due to HV conditions the probability of gas-phase collisions between precursor molecules is negligible, and thus, the chemical reactions occur strictly on the substrate surface. This characteristic allows for ALD-like synthesis of the films at a higher growth rate than ALD, provided that the substrate temperature is below the pyrolysis threshold of the precursors. In one of the previous works of our group (Reinke et al., J. Phys. Chem. C, 2015, 119, 50, 27965–27971), we presented HV-CVD of TiO2 using ALD precursors TTIP and H2O at typical ALD temperatures 175-225°C, achieving growth rates of up to 2nm/min. The growth was demonstrated to follow ALD chemistry and kinetics. The combinatorial HV-CVD allowed to extract a range of kinetic parameters of the precursor system under study, thus proving the HV-CVD highly valuable as a tool for the fundamental study of ALD processes. Moreover, it shows that ALD processes can be adapted in the HV-CVD system for higher growth rates.

Owing to the negligible gas-phase reactions, in the CVD mode of HV-CVD, highly crystalline films are attainable. The HV-CVD-grown films rival the quality of molecular beam epitaxy results, achieved at lower temperatures, and providing much-improved process scalability and cost-efficiency. Our recent efforts have been focused on the epitaxial growth of BaTiO3 on SrTiO3-buffered substrates for integrated electro-optical devices using Ba(iPr3Cp)2, TTIP and O2 as precursors (Borzì et al, Materialia, 2020, 14, 100953). Employing the combinatorial mode of growth, we have established the optimal precursor fluxes for the correct stoichiometry, validated by Rutherford backscattering and Elastic recoil detection elemental analysis. We also demonstrated the films to be epitaxial by XRD as well as <0.5nm root mean square roughness, as shown by AFM, both of which being crucial for the application.

View Supplemental Document (pdf)
AF-MoP-74 Low-temperature Epitaxy of β-Ga2O3 Films via Hollow-cathode Plasma-assisted ALD
Saidjafarzoda Ilhom, Adnan Mohammad, Deepa Shukla, Brian Willis (University of Connecticut); Ali Kemal Okyay (Stanford University); Necmi Biyikli, Scott Butcher (University of Connecticut)

Gallium oxide (Ga2O3) is an emerging ultrawide-bandgap (UWBG) semiconductor attracting significant interest for high-power and high-frequency electronics that features comparable electrical properties (larger bandgap ~4.9 eV, high dielectric constant 6-8 MV/cm) to wide-bandgap GaN and SiC. However, growing device-level high-quality (Ga2O3) films have been mainly possible at high substrate temperatures (700 – 1000 °C) using complex reactor settings, which substantially increases the production cost and limits the application space.

In this work, we report on low-temperature as-grown crystalline β-Ga2O3 films on Si, glass, and sapphire via hollow-cathode plasma-assisted atomic layer deposition (HCPA-ALD). The films were deposited using triethylgallium (TEG) and Ar/O2 plasma as metal precursor and oxygen co-reactant, respectively. Growth experiments have been performed at 240 °C substrate temperatures and 50 W rf-power level. Additionally, eachunit ALD-cycle was followed by an in-situ Ar-plasma annealing treatment, which consisted of Ar-plasma exposure for 20 seconds at 250 W rf-power. Both in-situ and ex-situ ellipsometry were employed to measure the thickness and optical properties of the films. The samples exhibited enhanced growth-per-cycle (GPC) values around 1.3 Å. X-ray diffraction (XRD) of the sample on sapphire revealed epitaxial Ga2O3 film signature with monoclinic β-phase. On the other hand, GIXRD of the samples grown on Si and glass displayed polycrystalline β-Ga2O3 films. High-resolution transmission electron microscopy (HR-TEM) revealed the epitaxial relationship of the Ga2O3 layers grown on sapphire substrates. X-ray photoelectron spectroscopy (XPS) measurements shed light on the elemental composition and provide additional understanding on the nature of chemical bonding states within β-Ga2O3 layers. Our results demonstrate the effectiveness of in situ Ar-plasma annealing process within a customized HCPA-ALD reactor setting to transform amorphous wide bandgap oxide semiconductors into epitaxial films at substantially reduced substrate temperatures. View Supplemental Document (pdf)
Session Abstract Book
(686KB, May 7, 2022)
Time Period MoP Sessions | Topic AF Sessions | Time Periods | Topics | ALD/ALE 2022 Schedule