AVS 67 Session AP-Contributed On Demand: Atomic Scale Processing Contributed On Demand Session

On Demand available October 25-November 30, 2021

Session Abstract Book
(401KB, Oct 26, 2021)
Time Period OnDemand Sessions | Topic AP Sessions | Time Periods | Topics | AVS 67 Schedule

AP-Contributed On Demand-1 Cu2O Spontaneous Etching By Acetylacetone and Cu Atomic Layer Etching Using Sequential O2 or O3 and Acetylacetone Exposures
Aziz Abdulagatov, Jonathan Partridge (University of Colorado at Boulder); Varun Sharma, Charles Dezelah (ASM Microchemistry Ltd., Finland); Steven George (University of Colorado at Boulder)

Copper atomic layer etching (ALE) has been performed earlier using sequential exposures of O2 or O3 and hexafluoroacetylacetone (Hhfac) [1].To avoid halogens in the reactants, this study explored Cu ALE using O2 or O3 and acetylacetone (Hacac) (See Supplemental Figure 1).Cu2O spontaneous etching and Cu ALE were measured using in situ spectroscopic ellipsometry (iSE).The oxidation of the copper films was also examined including the effect of the O2 or O3 exposures on the surface morphology, chemical state and crystallographic structure.Additional studies were performed on Cu2O powders to identify volatile etch products and structural changes after Hacac exposures.

The copper oxide films were obtained by O2 or O3 oxidation of metallic copper films at 250oC.Atomic force microscopy (AFM) measurements revealed that Cu oxidation produced rough surfaces.X-ray diffraction (XRD) and x-ray photoelectron spectroscopy (XPS) measurements showed that the oxidized films were crystalline cubic Cu2O.The iSE measurements determined that the thickness of these Cu2O films was reduced linearly by consecutive exposures of Hacac during spontaneous etching.Additional iSE analysis determined that Cu ALE using sequential exposures of O2 and Hacac removed Cu at 4.1 Å/cycle at 250°C (See Supplemental Figure 2).This etch rate during Cu ALE was obtained using reactant pressures of 20 and 0.250 Torr, and dose times of 0.5 and 1 s, for O2 and Hacac, respectively.

Quadrupole mass spectrometry (QMS) investigations were conducted using Hacac exposures on Cu2O powders at 250oC to identify the volatile etch products.H2O was observed as a product at the beginning of the Hacac exposure.H2O is consistent with the disproportionation reaction Cu2O + 2Hacac ® Cu(acac)2 + Cu + H2O.To verify the production of Cu during this disproportionation reaction, XRD analysis was performed on the Cu2O powders after the Hacac exposures.The XRD results confirmed that Cu2O was partially converted to Cu by the Hacac exposures.QMS also searched for the Cu(acac)2 reaction product from the disproportionation reaction.Although no Cu(acac)2 was detected by QMS, Fe(acac)2 was observed from the exchange of Cu(acac)2 with Fe on the reactor walls.

[1]E. Mohimi, X.I. Chu, B.B. Trinh, S. Babar, G.S. Girolami and J.R. Abelson, ECS J. Solid State Sci. Technol.7, P491 (2018).

View Supplemental Document (pdf)
AP-Contributed On Demand-4 In-situ Analysis of Surface Reactions for Plasma-Assisted Thermal-Cyclic Atomic Layer Etching of Tantalum Nitride
Kazunori Shinoda (Hitachi ); Masaki Hasegawa (Nagoya University); Hirotaka Hamamura (Hitachi ); Kenji Maeda, Kenetsu Yokogawa, Masaru Izawa (Hitachi High-Tech); Kenji Ishikawa, Masaru Hori (Nagoya University)

Isotropic atomic layer etching (ALE) offers the potential of atomically precise material removal with high conformality and high selectivity for future three-dimensional devices including nano-sheet gate-all-around field effect transistors (FETs). The authors have developed isotropic ALE for various materials such as Si3N4 and TiN by using the surface reactions of ammonium salt-based modified layers in CHF3/O2 plasma [1, 2].Although this kind of plasma is suited for forming ammonium salt-based modified layers on Si3N4 and TiN films, erosion of carbon hard masks is a concern due to the use of oxygen. In this work, both conventional CHF3/O2 plasma and an oxygen-free fluorocarbon-based plasma have been compared by using in-situ X-ray photoelectron spectroscopy (XPS) to examine the surface reactions for plasma-assisted thermal-cyclic ALE of TaN.

Cycles involving CHF3/O2 plasma exposure and heating were repeated. The exposure to CHF3/O2 plasma produced a modified layer on TaN. In-situ XPS analysis revealed that the TaN surface was modified in the plasma by forming N-H bonds and Ta-O-F bonds. The layer thickness was self-limiting with respect to the plasma-exposure time. After heating, non-volatile tantalum oxides remained on the TaN surface due to oxidation of the surface.

Cycles involving oxygen-free fluorocarbon-based plasma exposure and heating were repeated for developing TaN ALE to suppress oxide residues.This plasma consisted of hydrogen and fluorine that could form an ammonium-salt-based modified layer in both Si3N4 and TiN ALE, and it did not consist of oxygen that could erode carbon hard masks. The modified layer was produced on TaN after exposure to the plasma at −20°C. In-situ XPS analysis revealed that the layer contained N-H bonds and Ta-F bonds.The modification of the TaN surface in the plasma was self-limiting with respect to the plasma-exposure time. After heating, both the N-H bonds and Ta-F bonds disappeared completely. This result suggests that the modified layer was removed by heating. Thus, an applicable process for using carbon hard masks has been successfully demonstrated, and its surface reactions for thermal-cyclic ALE of TaN have been analyzed.

[1] K. Shinoda, N. Miyoshi, H. Kobayashi, M. Izawa, K. Ishikawa, and M. Hori, J. Phys. D: Appl. Phys. 52, 475106 (2019).

[2] K. Shinoda, N. Miyoshi, H. Kobayashi, M. Izawa, T. Saeki, K. Ishikawa, and M. Hori, J. Vac. Sci. Technol. A 37, 051002 (2019).

AP-Contributed On Demand-7 A first-principle Investigation of the ALD Selectivity Driving Forces for the Area Deposition of TiO2
Yukio Kaneda (Sony Semiconductor Solutions Corporation); Esteban A. Marques (Katholieke Universiteit Leuven); Silvia Armini (IMEC, Belgium); Annelies Delabie (IMEC); Michiel van Setten, Geoffrey Pourtois (IMEC, Belgium)

Area selective deposition (ASD) is one of the advanced patterning technologies for further nano-scale devices. ASD enables the growth of a film on certain substrates (referred to as growth areas in what follows) and not on the other (labeled as non-growth). However, it is commonly observed that the process is poisoned by an uncontrolled deposition in an undesired area, typically protected by a surface inhibiting treatment, hence leading to a selectivity degradation. The latter is explained by the absorption of precursors in a non-growth area that acts as a seed for the nucleation of particles.[1] Though diffusion aspects are playing a key role in the process, a large part of the selectivity is also controlled by the reactivity (thermodynamic) driving forces between the precursors and the substrates. Next to the selection of the ALD precursor, it is also crucial to understand how much other molecules and by-products, such as the compounds generated by the desorption of surface inhibitors, or by the decomposition of the ALD precursors, impact on the selectivity.

Therefore, improving an ASD process requires gaining a fundamental understanding on the different mechanisms that drive the selectivity degradation. In this context, we studied the thermodynamic driving force of different key chemical reactions occurring during the ALD deposition of TiO2, including precursor adsorption on the surfaces of the growth and non-growth area, precursor decomposition and clustering, reactions with by-products and inhibitor desorption, and analyzed the mechanism of selectivity degradation by first-principle calculations with statistical thermodynamic considerations.

In this presentation, we will illustrate how the combination of atomistic simulations with thermodynamic considerations helps to understand the precursor dependency reported for the selective deposition of TiO2 on SiO2 and on silylated surfaces by Soethoudt et al.[2] We hence modeled silicon/silicon oxide surface models terminated by either hydroxyl groups (to mimic a growth area) or tri-methyl-silyl groups (ALD inhibitor), and TiCl4 and H2O as the precursor and the oxidizer, respectively. From this analysis, we reproduced the temperature dependence of the selectivity. We also attributed the mechanism of selectivity degradation to the desorption of the methyl-silyl groups which is driven by temperature and reaction by-products.

We then expanded the exercise to a set of 25 commercially available Ti precursors and ranked their performances in terms of selectivity.

[1]Fabio Grillo, et al., Chemistry of Materials202032 (22), 9560-9572

[2] Job Soethoudt, et al.,The Journal of Physical Chemistry C2020124 (13), 7163-7173

AP-Contributed On Demand-10 Scaling of InGaZnO to sub-40nm Regime Using Advanced Etch Techniques
Shreya Kundu, Frederic Lazzarino (IMEC, Belgium)

Since early 2000s, InGaZnO (IGZO)–based semiconductor oxide has been studied and prototyped as advanced thin film transistors (TFTs) in transparent electronic devices like high-definition flat panel displays1. Owing to a range of attractive properties such as high carrier mobility (w.r.t amorphous silicon), low OFF state leakage, and optimal BEOL compatibility; IGZO-TFTs are now being presented as the next-generation candidate for the DRAM technology2. In addition, due to its possibility to offer high current density, it is also being considered as Schottky diode-based selectors for high density cross-point memory applications3. While these attributes make IGZO a compelling novel material to investigate, a key challenge to enable its successful integration is its patterning into features of desired dimensions, especially at high scaling densities. Generally, metallic alloys are etched using halogen plasmas. However, a layer of IGZO exposed to a halogen produces non-volatile halide residues thereby creating a chemical etch stop and an incomplete patterning process. It has been reported that hydrocarbons (i.e. CH4) can be used to pattern large IGZO structures as the organometallic by-products formed from IGZO are volatile4. Nevertheless, there is a trade-off – hydrocarbons are mainly used as passivating agents during conventional etching. This passivating effect from hydrocarbons is magnified at tighter pitches creating a chemical etch stop yet again. In short, the scalability of IGZO features using hydrocarbon etch pose a significant challenge. In this work, we evaluate the dual role of CH4 during the patterning of IGZO for a range of CD/pitch combinations. Advanced etching methods i.e. CH4-based atomic layer etching and plasma pulsing are used to enable high-density IGZO patterning5,6. We are successfully able to demonstrate well-separated IGZO features of CDs ≤ 20 nm in pitches down to sub-40 nm regime. This newly tailored etch is observed to be robust across different feature sizes and spacings, clearly exhibiting its potential to become a universal etch approach for IGZO as well as for IGZO-like materials such as InSnO and InZnO. The patterning effort focused on ensuring smooth sidewalls with negligible redeposition; limited tapering; good selectivity to electrode contact-friendly hard masks; minimal damage to IGZO stoichiometry and crystallography; and most importantly, emphasized the repeatability and uniformity generated from this advanced etch scheme.

REFERENCES: 1. Nature 432, 488–492 (2004); 2. IEEE Journal of the Electron Devices Society, 7, 495-502 (2019); 3. IEEE Electron Device Letters, 2014, 35, 642-644 (2014); 4. Plasma Science and Technology, 14, 10 (2012); 5. J. Vac. Sci. Technol. A 33, 020802 (2015); 6. J . Vac. Sci. Technol. A 30, 040801 (2012)

AP-Contributed On Demand-13 A Theoretical Investigation Into the Oxidative Etching of Ruthenium
Neung-Kyung Yu, Bonggeun Shong (Hongik University); Jeong-Min Lee , Woo-Hee Kim (Hanyang University)

A theoretical investigation into the oxidative etching of ruthenium

Neung-Kyung Yu 1, Jeong-Min Lee 2, Woo-Hee Kim 2, and Bonggeun Shong 1*

1 Chemical Engineering, Hongik University, Seoul, Korea;*bshong@hongik.ac.kr

2 Materials Science and Chemical Engineering, Hanyang University, Ansan, Korea

Ruthenium (Ru) is a transition metal with low resistivity especially on a nanometer scale, making it a promising candidate for future interconnect applications. Ru can be oxidized and dry etched through the formation of volatile RuO4 under oxidizing conditions [1]. This distinctive property makes Ru easily compatible with atomic layer etching (ALE) process, if a self-limiting process condition for the etch rate can be found. Moreover, since atomic layer deposition (ALD) of Ru utilizes oxidative counter-reactants, Ru can be used in bottom-up selective patterning processes combining ALD and selective etching [2]. Meanwhile, the atomistic mechanism of the oxidative etching process of Ru is not yet thoroughly determined. In this work, the mechanism of surface oxidation and dry etching of Ru under ozone environment is investigated using density functional theory (DFT) calculations. The explored pathways include surface oxidation of Ru and formation of ruthenium oxide (RuO2), from both of which desorption of RuO4 is considered. Our results suggest that direct etching from oxidized Ru surface is preferable to the decomposition of RuO2 surface, indicating that there might be a process window for quasi-ALE of Ru.

References [1] Nakahara, M. et al. Etching technique for ruthenium with a high etch rate and high selectivity using ozone gas. J. Vac. Sci. Technol. B 19, 2133 (2001). [2] Vos, M. et al. Area-Selective Deposition of Ruthenium by Combining Atomic Layer Deposition and Selective Etching. Chem. Mater. 31 (11), 3878 (2019).

AP-Contributed On Demand-16 Blocking Thermal Atomic Layer Etching With Removable Etch Stop Layers
David Zywotko (University of Colorado Boulder); Omid Zandi, Jacques Faguet, Paul Abel (TEL Technology Center, America, LLC); Steven George (University of Colorado Boulder)

Thermal atomic layer etching (ALE) can be performed using sequential fluorination and ligand-exchange reactions. For example, thermal Al2O3 ALE can be achieved using HF for fluorination and Al(CH3)3 (trimethylaluminum (TMA)) as the metal precursor for ligand-exchange [1]. Sequential exposures of HF and TMA lead to Al2O3 etch rates of 0.47 Å/cycle at 285°C. The ability to block thermal ALE selectively will be useful for advanced nanofabrication. This study demonstrates how thermal Al2O3 ALE can be blocked with removable ZrF4 etch stop layers. In situ quartz crystal microbalance (QCM) measurements were utilized to monitor the etching and the effect of the etch stop layers.

The ZrF4 etch stop layers could be deposited on Al2O3 using tetrakis(ethylmethylamido) zirconium and H2O at 285°C. These reactants deposit ZrO2 layers that are then converted to ZrF4 during the subsequent HF exposure. Because Al(CH3)3 does not undergo ligand-exchange with ZrF4 [2], the ZrF4 layer serves as an etch stop layer. QCM measurements revealed that an initial ZrO2 thickness of just one monolayer prior to fluorination was able to stop completely the thermal Al2O3 ALE. Prior to reaching a ZrO2 thickness of one monolayer, the etching inhibition was proportional to the ZrO2 fractional coverage. The ZrF4 etch stop layer was observed to arrest the thermal Al2O3 ALE for >100 ALE cycles.

The ZrF4 etch stop layer could then be easily removed by a ligand-exchange reaction with AlCl(CH3)2 (dimethylaluminum chloride (DMAC)) [3]. The ZrF4 etch stop layer could be applied and removed repeatedly without changing the Al2O3 etch rate. X-ray photoelectron spectroscopy (XPS) studies confirmed the removal of Zr on the Al2O3 surface after 7 cycles of DMAC and HF sequential exposures. Area selective deposition of the ZrF4 etch stop would lead to area selective etching using HF and TMA as the reactants. Area selective deposition could be achieved based on selective reactant adsorption or substrate-dependent nucleation delays.

[1] Younghee Lee, Jaime W. DuMont and Steven M. George, “Trimethylaluminum as the Metal Precursor for the Atomic Layer Etching of Al2O3 Using Sequential, Self-Limiting Thermal Reactions”, Chem. Mater. 28, 2994 (2016).

[2]Younghee Lee, Craig Huffman and Steven M. George, “Selectivity in Thermal Atomic Layer Etching Using Sequential, Self-Limiting Fluorination and Ligand-Exchange Reactions”, Chem. Mater.28, 7657 (2016).

[3]Younghee Lee and Steven M. George, “Thermal Atomic Layer Etching of Al2O3, HfO2, and ZrO2 Using Sequential Hydrogen Fluoride and Dimethylaluminum Chloride Exposures”, J. Phys. Chem. C123, 18455 (2019).

AP-Contributed On Demand-19 Atomic Layer Etching of HfO2 and ZrO2 Landing on WS2 Transition Metal Dichalcogenide
Jean-Francois de Marneffe, Daniil Marinov (imec v.z.w.); Andy Goodyear (Oxford Instruments Plasma Technology); Benjamin Groven (imec v.z.w.); Pieter-Jan Wyndaele (KU Leuven); Souvik Kundu (imec v.z.w.); Mike Cooke (Oxford Instruments Plasma Technology); Stefan De Gendt (KU Leuven)
Two-dimensional transition metal dichalcogenides (2D-TMDCs) are considered as alternative channel materials for next generation CMOS logic technology. Very large scale integration (VLSI) manufacturing requires to comply as much as possible to established processing methods and device geometries. For building VLSI compliant 2D transistor devices, the current strategy for channel gating relies on the growth of high-k oxide dielectrics (with EOT < 1nm). Electrical contacts are built by a subtractive approach where the passivating dielectric is etched away, exposing the 2D channel, then filled with the relevant metal. The present work describes the plasma etching of thin high-k dielectric stopping on WS2, aiming at contacts fabrication. Three-layer WS2 films were grown on SiO2 by PEALD. After WS2 growth, a Si nucleation layer (0.4, 0.7 or 1.0 nm, all non-continuous) was deposited using molecular beam deposition, which was oxidized by ambient exposure prior to high-k deposition. Afterwards, HfO2 and ZrO2 high-k dielectrics were deposited by ALD, targeting a thickness of 6 nm. Etch screening studies and process optimization were performed on HfO2, ZrO2 and PECVD SiO2 films deposited directly on Si (without WS2). The high-k films were etched in a ICP chamber, modified to enable atomic layer etching (ALE). The ALE plasma conditions used in this work were based on a continuous Ar discharge which was maintained all along the ALE cycles. The cycles were consisting of two main steps of a few seconds each, separated by purges: a short pulse (without bias) during which reactive gases were injected in the plasma (BCl3 or Cl2/BCl3), followed by a biased step with only Ar. The first part of the study investigates the etch of ZrO2 and HfO2 using pure BCl3 and Cl2/BCl3 plasmas. With pure Ar bombardment, the etch rate is zero until the bias power reaches ~ 15W. The addition of chlorine-based reactants enables etch at lower bias. Pure BCl3 shows a non-negligible chemical etch component in the removal process. For the Cl2/BCl3 mixture, the etching requires a minimal bias of 5 W to proceed, indicating that the removal process is sputter enabled. The ALE synergy (at 8W bias) is calculated, giving S~53% for the pure BCl3 discharge, while S=100% for the Cl2/BCl3 mixture (for both ZrO2 and HfO2). Applying the optimal ALE etch processes to a WS2 films passivated with ZrO2 or HfO2, it is found that the high-k layers are fully removed, without major change in the composition of the underlying WS2. The low damage high-k removal is confirmed by Raman spectroscopy, which show negligible changes after complete high-k etch.

We acknowledge funding from the European Union’s Horizon 2020 research and innovation program under the Marie Sklodowska-Curie grant agreements (No 752164) and Graphene flagship (No 952792).

AP-Contributed On Demand-22 Probing the Selectivity of Area-Selective Spatial ALD + Etch-Back Supercycles for SiO2 by Low Energy Ion Scattering
Alfredo Mameli (TNO-Holst Centre); Philipp Brüner (IONTOF GmbH); Fred Roozeboom (TNO-Holst Centre); Thomas Grehl (IONTOF GmbH); Paul Poodt (TNO-Holst Centre)

Area-selective ALD interleaved with etch-back steps in a supercycle fashion has recently been reported as very effective in achieving high selectivity. Such supercycles can result in lower defectivity on the non-growth area and thicker layers on the growth area, as compared to solely area-selective ALD.1, 2 The complementarity of deposition and etching techniques can therefore offer great potential for reaching the ultimate requirements in advanced device manufacturing.

Here we use low energy ion scattering (LEIS) to probe the selectivity of the first supercycle, consisting of plasma-enhanced selective spatial-ALD of SiO2 and conventional CF4-based reactive ion etching (RIE). Given its extreme sensitivity to the top monolayer(s) of a thin film, LEIS can reliably quantify the selectivity and defectivity on the non-growth areas in terms of surface coverage and derived thickness.

For the selective spatial ALD a three-step approach was adopted,3 consisting of successive inhibitor, silicon precursor (BDEAS) and O2 plasma exposures. Silicon wafers (growth area) with large ZnO patterns (non-growth area) were used as substrates. After 20 spatial ALD cycles, no silicon was detected on the non-growth area by LEIS (detection limit 2 % SiO2 surface coverage), implying excellent process selectivity. The selectivity is however gradually lost by increasing the number of ALD cycles up to 110. On the non-growth area, the SiO2 layers now had an averaged thickness of 3.5 nm as measured by spectroscopic ellipsometry (SE) and corroborated by LEIS measurements (86% Si surface coverage). At the same time on the growth area, a SiO2 thickness of 11 nm was measured. In order to correct for the selectivity loss, a 3 seconds RIE step was applied, which restored the Si coverage and reduced the SiO2 thickness on top of the non-growth area back to zero, as demonstrated by LEIS. Concurrently, 8 nm thick SiO2 was left on the growth area.

The data presented in this work demonstrate the effectiveness of combining selective spatial ALD + etch-back corrections to achieve extreme SiO2 selectivity while retaining high deposition rates. Furthermore, we will discuss how LEIS can provide useful information on selectivity as well as defect formation on the non-growth area. Finally, we have extended the plasma-enhanced selective spatial ALD of SiO2 to other non-growth areas.

[1] R. Vallat et al., JVSTA, 35, 01B104 (2017).

[2] S. K. Song et al., Chem. Mater. 31 4793-4804 (2019).

[3] A. Mameli et al., ACS Nano, 11, 9303-9311 (2017).

View Supplemental Document (pdf)
AP-Contributed On Demand-25 CMOS-Compatible Processing of Atomic-Precision Donor Devices
DeAnna Campbell, Andrew Leenheer, Evan Anderson, Scott Schmucker, Jeffrey Ivie, Xujiao Gao, William Lepkowski, Tzu-Ming Lu, Lisa Tracy, Shashank Misra (Sandia National Laboratories)

Atomic precision advanced manufacturing (APAM) is a technique for placing dopant atoms with single atomic-lattice site precision on silicon surfaces. For example, phosphorous adsorbed on a clean Si surface then capped with Si can form a monolayer (delta layer) of donors with optional selected-area patterning. The dopant atoms are incorporated into the silicon lattice through a surface-activated chemical reaction rather than a thermally activated diffusion process, allowing for electrically active doping above the solubility limit for phosphorus in silicon. The two-order of magnitude higher doping levels and two-dimensional nature of the dopant sheet enable new device physics and the potential for new device types and geometries.

Atomic precision fabrication requires atomically clean surfaces. Historically the APAM technique has used surface preparation techniques with high temperatures in excess of 1200 °C, but recent modifications of the surface preparation process have lowered this temperature to 800-900 °C, opening integration possibilities with CMOS. In this talk we report on the initial development of a CMOS process flow incorporating APAM doping. Based on the thermal budget and processing constraints, our basic process flow involves building the APAM device between CMOS Front-end-of-line (FEOL) and the CMOS Back-end-of-line (BEOL) steps. We will discuss possible insertion points for the APAM processing in a CMOS flow, considering possible effects on (existing) transistor channels, gate oxides, and contacts. Two additional mask layers are added, termed “APAM select” to indicate a window for APAM device processing and “APAM active” to define the actual APAM device area. We will report progress on development devices including die-level and wafer-level testing of discrete transistors and simple integrated circuits that incorporate APAM processing and wiring. We conclude with a discussion of future integration challenges such as wafer scale processing of APAM devices in a CMOS foundry flow. Direct integration of APAM components into CMOS circuits opens the door for devices with enhanced functionality.

This work is supported by Sandia’s Lab Directed Research and Development Program and was performed in part at the Center for Integrated Nanotechnologies, a U.S. DOE Office of Basic Energy Sciences user facility. Sandia National Laboratories is a multimission laboratory managed and operated by National Technology & Engineering Solutions of Sandia, LLC., a wholly owned subsidiary of Honeywell International, Inc., for the U.S. Department of Energy’s National Nuclear Security Administration under contract DE-NA0003525.
AP-Contributed On Demand-28 Room Temperature Operation of Donor-Based Atomically Precise Devices
Jeffrey Ivie, Lisa Tracy, Juan Mendez, Suzey Gao, Evan Anderson, Scott Schmucker, DeAnna Campbell, David Scrymgeour, Aaron Katzenmeyer, Daniel Ward, Tzu-Ming Lu, Shashank Misra (Sandia National Laboratories)

Atomic precision advanced manufacturing (APAM) of electrical devices, fabricated using hydrogen depassivation lithography in a scanning tunneling microscope, offer a way to explore device physics with the ultimate degree of control. Almost all previous work has focused on demonstrations of basic physics principles, using devices operating at cryogenic temperatures. However, these devices are isolated through freezing out leakage pathways and cannot function at room temperature, making them incompatible for large-scale integration with modern metal-oxide semiconductor (MOS) technologies. New device designs to suppress leakage pathways are critical for advancing MOS compatible APAM devices forward.

To enable room temperature operation of AP devices, we have developed a MOS compatible counter-doping scheme, which provides significant leakage current isolation around the APAM device. Refinements to the low thermal budget processes for sample preparation and epitaxial Si growth of capping material were critical for maintaining proper dopant profiles. Room temperature electrical measurements on APAM devices demonstrate electrical properties on par with devices measured at cryogenic temperatures. These electrical properties also compare well with carrier concentration and mobility extracted from room temperature spectroscopic ellipsometry measurements. This demonstration of a MOS compatible doping scheme enabling room temperature operation opens the door for integration of APAM devices with MOS technology.

This work was supported by the Laboratory Directed Research and Development Program at Sandia National Laboratories and was performed, in part, at the Center for Integrated Nanotechnologies, a U.S. DOE, Office of Basic Energy Sciences user facility. SNL is managed and operated by NTESS under DOE NNSA contract DE-NA0003525.The views expressed in the article do not necessarily represent the views of the DOE or the U.S. Government.

AP-Contributed On Demand-31 The Interplay of Diffusion and Size-Dependent Reactivity in Ruthenium Area-Selective Deposition
Jan-Willem Clerix, Esteban Marques, Annelies Delabie (KU Leuven / imec)

Area-selective deposition (ASD) is a promising technique for semiconductor device manufacturing. The replication of nanoscale patterns by ASD can simplify device fabrication process flows by reducing the number of lithography, etch and chemical-mechanical polishing steps. In addition, ASD could eliminate pattern overlay errors. In particular, Ru ASD is of interest for patterning applications as Ru hard masks with high etch resistance or for application in interconnect structures. (Ethylbenzyl)(1-ethyl-1,4-cyclohexadienyl)Ru/O2 atomic layer deposition (ALD) has been used for Ru ASD in SiO2/TiN nanopatterns [1]. However, undesired growth of Ru nanoparticles on the methyl-terminated SiO2 non-growth surface limits the achievable Ru film thickness. This undesired nanoparticle growth is governed by precursor adsorption, surface diffusion of Ru adspecies and aggregation. Ru nanoparticles that are large enough (>0.85 nm) to catalyze O2 dissociation can also grow by direct precursor adsorption on the nanoparticle.

In this study, we model how the growth mechanism influences selectivity in patterns with feature sizes of 20–200 nm. For large non-growth areas (≥100 nm wide), diffusion results in a larger amount of Ru deposited on the non-growth area than in systems without diffusion. Diffusion and aggregation result in particles, on the non-growth area, large enough (>0.85 nm) to grow by direct precursor adsorption. This direct precursor adsorption on particles is much faster than deposition on the surface. Such large particles become immobile and trapped on the non-growth surface. Conversely, for small non-growth areas (≤50 nm), diffusion of Ru adspecies from the SiO2 non-growth surface to the TiN growth surface reduces defectivity in Ru ASD (Figure 1). These small diffusive Ru adspecies are effectively captured at the interfaces of the nanoscale patterns before their growth by precursor adsorption is catalyzed. For small non-growth areas (≤50 nm), diffusion to the growth area prevents the formation of particles larger than the critical diameter altogether.

Knowledge about the interplay of diffusion and size-dependent reactivity in nanoscale patterns can help in developing defect mitigation strategies. For instance, on small non-growth areas, regular isotropic etches should be successful in removing all defects while retaining a Ru film on the growth surface. This synergistic defectivity reduction should apply to all deposition processes with diffusion and size-dependent reactivity.

[1] Soethoudt et al., Adv. Mater. Interfaces 2019, 6, 1900896.

View Supplemental Document (pdf)
AP-Contributed On Demand-34 Thermal Atomic Layer Etching of Nickel and Cobalt Using SO2Cl2 and P(CH3)3
Jessica Murdzek, Steven George (University of Colorado at Boulder)

Thermal atomic layer etching (ALE) is defined by sequential surface modification and volatile release reactions. Thermal metal ALE is particularly challenging because the oxidation state of the metal must be changed to match the oxidation state of the volatile metal etch product. After changing the oxidation state, the metal then needs to form a stable and volatile complex. In this work, Ni and Co ALE are developed by first changing the metal oxidation state by chlorination using SO2Cl2. Subsequently, the metal is etched by the binding of P(CH3)3 (PMe3) ligands that can volatilize the nickel or cobalt chloride.

The logic of this approach is based on the Covalent Bond Classification (CBC) method. The key is forming metal complexes that obey the “18 electron rule” or “16 electron rule”. X ligands are one-electron donors like Cl. L ligands are two-electron donors like PMe3. According to the CBC method, nickel complexes typically have NiX2L3 or NiX2L2 configurations, and cobalt complexes are typically found in the CoX2L4, CoX2L2, or CoX3L3 configurations. The goal for Ni or Co ALE is then to create these volatile metal compounds using sequential SO2Cl2 and PMe3 surface reactions. The proposed surface chemistry for Ni or Co ALE (Figure 1) assumes SO2Cl2 exposure leads to NiCl2 or CoCl2. Then the NiCl2 or CoCl2 is volatilized by binding with PMe3.

Both nickel and cobalt ALE have been studied with in situ quartz crystal microbalance (QCM). For nickel, one dose of SO2Cl2 produces a mass gain around 70 ng/cm2 at 150 °C. In comparison, for cobalt, one dose of SO2Cl2 produces a mass gain around 1,000 ng/cm2 at 150 °C (Figure 2). The large mass gain on cobalt indicates that, at the same temperature, much more CoCl2 is formed on cobalt compared with NiCl2 formed on nickel. The large surface layer of CoCl2 subsequently requires many PMe3 doses (20-30) for full removal. In comparison, only one dose of PMe3 needed to fully remove the NiCl2. According to QCM and X-Ray reflectivity (XRR) measurements, the nickel etch rate increased with increasing temperature, from 0.14 Å/cycle at 75 °C to 3.07 Å/cycle at 175 °C. Due to high levels of chlorination for cobalt, the Co etch rates are higher than the Ni etch rates at around 3-5 Å/cycle.

View Supplemental Document (pdf)
AP-Contributed On Demand-37 Molecular Mechanisms of Thermal Atomic Layer Etching of Cobalt, Iron, and their Alloys
Andrew Teplyakov (University of Delaware)

With recent advances in sub-10 nm technologies and in developing complex supercycle etching procedures for transition metals, the molecular mechanisms of thermal atomic layer etching (ALE) are quickly coming to the forefront of the tools required to achieve atomic-level control needed for the next generation of devices. The initial attempts to assume the mechanisms of thermal dry etching based on simple chemical analysis, simplified computational models, and optimization of etching procedures have been successful in explaining some of the phenomena, including basic understanding of surface smoothing during ALE; however, utilization of realistic etching conditions, presence of multiple etchants and complex etching products, and the role of defects in surface processing require in-depth mechanistic description that is only started being developed. This presentation will focus on recent approaches that our group developed combining thermal desorption, microscopy, and surface patterning with ex-situ XPS analysis and selected computational studies to describe the molecular mechanism of thermal dry etching of cobalt, iron, and CoFeB alloy combining surface chlorination and the reactions with acetylacetonates. The same strategy can be further used to firm up our understanding of the effects of surface functionalization, defect formation, and possibly applications of combinatorial chemistry in developing modern ALE methods.

AP-Contributed On Demand-40 Towards Ultraprecise Bipolar 2D devices using Atomic Precision Advanced Manufacturing
James H.G. Owen, R Santini, E Fuchs, J. N. Randall (Zyvex Labs); J. P. Mendez, X. Gao, D Mamaluy, S. Misra (Sandia National Laboratories)

Atomic Precision Advanced Manufacturing (APAM), the ability to fabricate 2D atomic-scale devices such as the ‘single-atom transistor’ [1] and dopant patch array devices [2] via the placement of n-type dopants such as P and As in single planes of Si(001) has recently taken a large step forward, as the possibility of similarly placing p-type dopants such as B and Al has been demonstrated. While the direct equivalents of phosphine and arsine are not stable for Gr. III elements, diborane has been used to create a p-n junction[3], and for applications where few or single dopants may be required, halide precursors, BCl3 and AlCl3 are proving promising on H and Cl masks[4].

In this work, we demonstrate the ability to form bipolar atomic-scale devices using BCl3 to place B and PH3 to place P using a H mask. The process involves sequential patterning, dosing and incorporation steps for each dopant. We are developing the ability to produce a new class of bipolar devices with a number of advantages, including a significantly improved gain-bandwidth product, low-noise operation and cryogenic operation. An example of a npn junction device pattern after incorporation of both B and P dopants, but before burial of the complete device, is shown in Fig. 1. To help understand the operation of these 2D bipolar devices, we leverage Sandia’s open-source, TCAD (Technology Computer Aided Design) device simulator, Charon [5], and expand its capability to first understand previously published results on diborane-based 2D p-n junctions [3]. Once established, Charon modeling will be used to design device dimensions in the proposed bipolar devices.

(1)Fuechsle, M. et al. A Single-Atom Transistor. Nat Nano2012, 7, 242–246.

(2)Wang, X. et al. Atomic-Scale Control of Tunneling in Donor-Based Devices. Commun. Phys.2020, 3, 82.

(3)Škereň, T. et al. Bipolar Device Fabrication Using a Scanning Tunnelling Microscope. Nat. Electron.2020, 3, 524–530.

(4)Dwyer, K. J. et al. Area-selective deposition and B delta-doping of Si(100) with BCl3 ; Radue, M. S. et al. AlCl3-Dosed Si(100)-2x1: Adsorbates, Chlorinated Al Chains, and Incorporated Al. arxiv (2021).

(5)Gao, X. et al, Analytic band-to-trap tunneling model including band offset for heterojunction devices, J. Appl. Phys. 125, 054503 (2019).

View Supplemental Document (pdf)
AP-Contributed On Demand-43 Mechanism of Thermal Dry Etching of Metallic Iron Thin Films Using Chlorine and Acetylacetone (acacH)
Mahsa Konh, Andrew Teplyakov (University of Delaware)

Thermal dry etching of metallic Iron thin films using Cl2 and acetylacetone (acacH) was investigated. Iron metal is commonly used in magnetic random-access memory (MRAM) technology. The etching pathway was followed by detecting expected desorbing fragments during a heating ramp via temperature-programmed desorption (TPD) technique. The chemical properties of the etched surfaces were then analyzed with ex situ X-ray photoelectron spectroscopy (XPS). The morphology of the surface was studied with microscopic techniques such as scanning electron microscopy (SEM) and atomic force microscopy (AFM). To desorb volatile etch products, having an oxidized or halogenated Iron surfaces is vital, since clean surfaces resulted in decomposition of the diketone ligands. The pre-chlorination of the surfaces was shown to lead to the formation of transition metals-containing products at lower temperature compared to those on oxidized surfaces. However, halogenation makes the mechanism more complicated, and the etch product can contain both Fe2+ and Fe3+. These products may have a combination of ligands, and their general formula can be expressed as Fe(acac)xCly. To corroborate the formation Fe(acac)xCly from chlorinated iron surfaces reacting with acacH, density functional theory investigation was used. They suggest that Fe3+-based fragments are more stable than those containing Fe2+ and that Fe(acac)x compounds were more stable compared to Fe(acac)xCly fragments.

AP-Contributed On Demand-46 Plasma Enhanced Atomic Layer Deposition of Molybdenum Carbonitride Films
Ian Campbell, Timothy Walter, Alex Molina, Ama Agyapong, Suzanne Mohney (The Pennsylvania State University)
Molybdenum nitride (MoNy) is interesting for use in thermally stable Schottky diodes. A plasma enhanced atomic layer deposition (PEALD) process was used to prepare single-phase MoNx films with some carbon incorporated with resistivity as low as 3 x 10-4 Ohm-cm for 33 nm thick films. The films were prepared by plasma enhanced atomic layer deposition using bis (tert-butylimino) bis (dimethylamino) molybdenum and N2/H2 in Ar for the plasma dose. The effects of plasma power and post-deposition annealing on electrical resistance and film composition were investigated. Increasing plasma power resulted in films with lower sheet resistance and higher N/Mo ratio. Annealing films caused a decrease in sheet resistance and N/Mo ratio. Carbon was present in the film, although in lower concentration than Mo and N, without much change for different plasma powers. This work was funded by the Office of Naval Research under Grant N000141812360.
AP-Contributed On Demand-49 Thermal Atomic Layer Etching of Al2O3 and Aln Using Hf or Xef2 for Fluorination and Bcl3 for Ligand-Exchange
Austin Cano, Steven George (University of Colorado at Boulder)

Thermal atomic layer etching (ALE) of Al2O3 and AlN can be performed using HF or XeF2 as the fluorination reactant and BCl3 as the ligand-exchange reactant. To investigate the etching mechanism, in-situ FTIR spectroscopy was used to identify the surface species and in-situ spectroscopic ellipsometry was employed to measure the etch rates. Quadrupole mass spectrometry was also employed to identify the volatile etch products. The Al2O3 studies were performed using Al2O3 ALD films grown using trimethylaluminum (TMA) and water as the reactants. The AlN investigations were conducted using crystalline AlN to explore the etch rates. The FTIR experiments employed AlN films grown by ALD using tris(dimethylamido)aluminum and ammonia as the reactants.

When BCl3 is used as a reactant, the ALE mechanism could occur by BCl3 conversion of Al2O3 to B2O3 or AlN to BN. Alternatively, BCl3 could undergo ligand-exchange with the fluorinated AlF3 surface. For thermal Al2O3 ALE, FTIR studies revealed strong evidence for a conversion reaction during the initial BCl3 exposure on Al2O3. The original Al-O vibrational modes were converted to B-O vibrational modes by the initial BCl3 exposures as shown in Figure 1. After the first ALE cycle using sequential HF and BCl3 exposures, there was no more evidence of conversion and the Al2O3 etching proceeded through a fluorination and ligand-exchange mechanism. Quadrupole mass spectrometry measurements observed that BCl3 exposures to AlF3 yielded BCl2F and AlCl3 reaction products. In situ ellipsometry measurements determined that the Al2O3 ALE etch rate using HF and BCl3 as the reactants varied with temperature from 0.01 Å/cycle at 270°C to 0.19 Å/cycle at 330°C.

In-situ FTIR and spectroscopic ellipsometry were also used to explore thermal AlN ALE. FTIR studies revealed that the initial BCl3 exposures created a thin BN layer on the surface. Subsequent HF and BCl3 exposures were consistent with AlN fluorination by HF and subsequent F/Cl exchange to form volatile AlCl3 product during BCl3 exposures. The Al-N vibrational stretch decreased progressively versus number of HF/BCl3 cycles as displayed in Figure 2. HF was not able to fluorinate single crystalline AlN substrates. Consequently, XeF2 was required as a stronger fluorination source. When combining viscous flow XeF2 exposures with static BCl3 exposures, ellipsometry measurements showed that AlN etching occurred at temperatures above 220°C. An etch rate of 0.81 Å/cycle was obtained at 255°C. In contrast, growth of a top layer that may be composed of AlFxCly was observed at lower temperatures. This top layer could be removed by long static BCl3 exposures.

View Supplemental Document (pdf)
AP-Contributed On Demand-55 Mechanisms of Thermal Atomic Layer Etching (ALE) of Metal by β-diketones
Abdulrahman H. Basher (Center for Atomic and Molecular Technologies, Osaka University, Osaka); Ikutaro Hamada (Graduate School of Engineering, Osaka University, Osaka); Marjan Krstić (Institute of Nanotechnology, Karlsruhe Institute of Technology (KIT), Karlsruhe); Tomoko Ito, Kazuhiro Karahashi (Center for Atomic and Molecular Technologies, Osaka University, Osaka); Wolfgang Wenzel (Institute of Nanotechnology, Karlsruhe Institute of Technology (KIT), Karlsruhe); Satoshi Hamaguchi (Center for Atomic and Molecular Technologies, Osaka University, Osaka)

Thermal atomic layer etching (ALE) can be used for precise and damageless etching. For example, it can be used to fabricate magnetic tunnel junction (MTJ) stacks of magnetoresistive random-access memory (MRAM) devices [1]. The aim of this study is to understand the mechanisms of thermal ALE of metal in general, whose cycle consists of an oxidation step and an exposure step to b-diketones, such as; hexafluoroacetylacetone (hfacH), trifluoroacetylacetone (tfacH), and acetylacetone (acacH) molecules. For instance, thermal ALE of Ni with hfacH starts with the deprotonation of hfacH molecules after the oxidation of the Ni surface. By increasing the surface temperature in the range of 300 ~ 400 °C, volatile nickel complex Ni(hfac)2 and water H2O molecules are formed on the surface and remove the NiO layer. In this process, once a new metallic Ni surface appears, no further etching occurs, which ensures the self-liming nature of the thermal ALE process [2-5].

First, we examined the interaction of b-diketones with a metallic Ni surface, using a simulation code STATE [6,7]. It has been found that the cleavage of a C-F or C-H bond of a b-diketone is more likely to take place than the deprotonation on a metal surface. The surface roughness can also help to form C-Ni bonds, which were also observed in experiments. The reaction mechanisms that we observed in these simulations have revealed the self-limiting nature of thermal ALE for metals with b-diketone gases in general, which is consistent with earlier experimental observations [5,8].

Second, we examined the interaction of b-diketones with oxidized metal surfaces, using TURBOMOLE program [9]. It has been found that, as b-diketones approach an oxidized metal surface, they deprotonate. In this way, volatile metal complexes and H2O molecules can be formed when b-diketone molecules interact with an oxidized metal surface. The reaction energies of such interactions have been evaluated from the simulations and we found that oxidized metal has its own desorption energy or temperature to volatilize its metal complex. Therefore, the applied temperature can be used as a self-limiting condition if the target contains different materials. In addition, hfacH is found to get more stably bonded than acacH or tfacH with an oxidized metal surface because the negatively charged F atoms in CF3 are repelled by O atoms of the surface, which forces a deprotonated hfac to adsorb on the surface nearly vertically. This does not happen to acacH, whose CH3 groups tend to interact directly with the metal oxide. As a result, hfacH is considered more suited for thermal ALE processes than other β-diketones.

----------------------------------------------

[1] G. S. Oehrlein and S. Hamaguchi, Plasma Sources Sci. Technol. 27, 023001 (2018).

[2] A. H. Basher et al., JJAP 59, 090905 (2020).

[3] A. H. Basher et al., JVST A 38, 052602 (2020).

[4] A. H. Basher et al., JVST A 38, 022610 (2020).

[5] T. Ito, et al., AVS 65th (2018).

[6] I. Hamada, Physical Review B 89, 121103 (2014).

[7] Y. Morikawa et al., Phys. Rev. B, 69, 041403 (2004).

[8] H. L. Nigg and R. I. Masel, JVST A 17,3477 (1999).

[9] TURBOMOLE V7.5 2020, https://www.turbomole.org.

AP-Contributed On Demand-58 Orthogonal Bottom-up Nanopatterning of TiO2 on SiO2 and W on Si-H Using Thermal Atomic Layer Deposition and Etching
Seung Keun Song, Jungsik (Justin) Kim, Gregory Parsons (North Carolina State University)

The semiconductor industry is now entering the new era of nanopatterning in manufacturing electronic device systems, and to achieve the small node size requirement, “bottom-up” processing through area selective deposition (ASD) has been considered as a key technique. Although many individual dielectric-on-dielectric (DoD) and metal-on-metal (MoM) ASD studies have shown promising results for “bottom-up” processing, no study has demonstrated coupling of different ASD processes in a sequence. Previously, our group reported a TiO2 ALD/ALE process for TiO2 ASD on silicon dioxide (SiO2) vs hydrogen terminated silicon (Si-H). We also reported selective W deposition on Si-H vs SiO2 using W ALD (SiH4/WF6). In this talk, we present co-compatible integration of TiO2 ALD/ALE and W ALD for selectively patterning of TiO2 films on SiO2 and W films on Si-H; i.e. orthogonal TiO2/W area selective deposition on SiO2/Si-H line patterned substrates. The first ASD (TiO2 ALD/ALE) selectively deposited ~18 nm TiO2 film on SiO2, and the subsequent ASD (W ALD) selectively deposited ~6.1 nm W film on Si-H. Between two ASDs, intermediate HF dipping was performed to regenerate hydrogen termination on Si-H to accelerate W growth on this surface. After the orthogonal process consisting of 20 TiO2 ALD/ALE supercycles and 15 W ALD cycles, TEM results show 5.3 nm TiO2 on SiO2 and 6.1 nm W on Si-H. During the process, W ALD simultaneously etched TiO2 on SiO2 while it selectively deposited W film on Si-H. More W ALD cycles in the orthogonal process showed complete removal of TiO2 on SiO2 and a thicker W deposition (10 nm) on Si-H. Beyond selective deposition, the results also illustrate neighboring reaction effects near region boundaries. Our findings provide an important insight into integrating two or more selective processes including ALD, ALE, CVD, and CVE for bottom-up nanofabrication.

View Supplemental Document (pdf)
AP-Contributed On Demand-61 Experimental Study of Metal-Oxides Etch Selectivity
Hamid Razavi (University of California at Los Angeles); Meihua Shen, John Hoang, Thorsten Lill (Lam Research Corporation); Jane P. Chang (University of California at Los Angeles)

Amorphous indium gallium zinc oxide (IGZO) has been attracting attentions in thin film transistors(TFTs) research as an active semiconductor channel layer due to its high transparency, high carrier mobility, and low processing temperature. In most InGaZnO (IGZO) transistors and display cells, metal-oxide etch selectivity is crucially important during the structural patterning processes in order to realize the device fabrication.

In this work, we first discuss the wet-etch selectivity of metal-oxide thin films such as Al2O3, HfO2, and InGaZnO (IGZO) using different reactive solutions. Acetylacetone (C5H8O2), formic acid (HCOOH), and SC1 (NH4OH/H2O2/DI) were examined. The metal oxide etch rates were determined by optical ellipsometry, scanning electron microscopy (SEM), and the composition of the processed surfaces was quantified by x-ray photoelectron spectroscopy. The result suggests that IGZO was etched by formic acid preferentially at ~25:1 and ~5:1 over HfO2 and Al2O3, respectively.Hacac etches HfO2 faster than Al2O3 or IGZO with a ratio of ~5:1.SC1 etches Al2O3 rapidly at a ratio of >100:1 over HfO2 and ~20:1 over IGZO, respectively.

Next, vapor-phase etch selectivity among these oxides was investigated with formic acid and acetylacetone where the effects of pressure (100 to 250 Torr) and temperature (50 to 100oC) on the etch rate and the surface composition ratio were examined. The etch rate of IGZO processed with formic acid vapor at 80oC and 250 Torr was 7 Å/min. Using a cyclic etching process with Hacac vapor at 80oC and 250 Torr and O2 plasma at 500 W and 30 mTorr for 1 min resulted in the etch per cycle (EPC) of 10 Å/cycle. In both methods preferential etching of ZnO was observed.

Session Abstract Book
(401KB, Oct 26, 2021)
Time Period OnDemand Sessions | Topic AP Sessions | Time Periods | Topics | AVS 67 Schedule