ALD2018 Session AA-MoP: ALD Applications Poster Session

Monday, July 30, 2018 5:45 PM in Premier Ballroom

Monday Afternoon

Session Abstract Book
(641KB, May 5, 2020)
Time Period MoP Sessions | Topic AA Sessions | Time Periods | Topics | ALD2018 Schedule

AA-MoP-1 Highly Visible Light Photocatalytical Activity of Ozone-Assisted Atomic Layer Deposited Fe2O3 Coated TiO2 Powders
Xi-Rui Zhao (Nanjing Unviersity, China); Yan-Qiang Cao, Ai-Dong Li (Nanjing University, China)

With the rapid development of modern industry, environmental pollution has become a serious issue. TiO2, as an efficient and safe photocatalyst, can only absorb ultraviolet light. In order to make full use of visible light, the doping and modification of TiO2 powders have drawn great attention. Herein, Fe2O3 coated TiO2 powders was prepared by ozone-assisted atomic layer deposition (ALD) strategy, taking advantage of its 3D conformality, precise control of film thickness and flexible surface modification. Fe2O3 coating with various ALD cycles of 200-800 was derived using Fe(Cp)2 and O3 as precursors of iron and oxygen at 250oC, respectively. 400-cycle Fe2O3 coated TiO2 sample exhibits optimal photocatalytic activity with ~72% degradation of methyl orange in half an hour under illumination of visible light, much higher than pure TiO2 of less than 2%. X-ray diffraction results indicate that there is no significant change in the particle size and anatase structure of TiO2 powder coated with 400 Fe2O3. Instead, ultrathin Fe2O3 amorphous layer is formed on the surface of TiO2 with reduced bandgap from 3.2 eV to 2.9 eV and improved visible light adsorption. Mott-Schottky curves confirm the formation of Fe2O3/TiO2 p-n junction could suppress the electron-hole recombination. Above all, compared to plasma-enhanced ALD, ozone-assisted ALD shows better depostion efficiency in powder samples and is more suitable for the surface modification of TiO2 powders.

Keywords: Ozone-assisted atomic layer deposition; TiO2 powders; Fe2O3 coating; Photocatalytic activity; p-n heterojunction

AA-MoP-2 Synaptic Behaviors of Pt/HfO2/HfOx/TiN Bilayer-structure Memristors by Atomic Layer Deposition
Chang Liu, Yan-Qiang Cao, Ai-Dong Li (Nanjing University, China)

HfOx-based resistive random access memory shows remarkable potential for next-generation non-volatile memories, however it is not optimized as synaptic devices for neuromorphic system applications. Herein, we focused on the fabrication and synaptic behaviors of HfO2/HfOx bilayer-structure memristive devices. 4-nm nonstoichiometric HfOx films on TiN-coated Si substrates were prepared using Hf[N(C2H5)CH3]4 (TEMAH) and hydrogen plasma by plasma-enhanced atomic layer deposition (PEALD), while 2-nm stoichiometric HfO2 films were deposited using TEMAH and H2O precursors via thermal ALD. X-ray photoelectron spectroscopy analyses shows that the atomic ratio of Hf: O in HfO2 and HfOx active layers is 1: 2.00-2.05 and 1: 1.60-1.74, respectively, indicating HfO2/HfOx bilayer-structure memristors have been obtained.. The Pt/HfO2/HfOx/TiN memistive cells exhibit bipolar resistive switching features with 20 intermediate resistance states by applying 1 V to 3 V reset voltages with 0.1 V interval, based on the migration/diffusion of oxygen vacancies. Several essential synaptic functions are simultaneously achieved in such a bilayer-structure device, such as nonlinear transmission characteristics, spike-timing-dependent plasticity, and long-term/short-term plasticity.

Keywords: memristor, bilayer-structure, atomic layer deposition, oxygen vacancy, synaptic behaviors

View Supplemental Document (pdf)
AA-MoP-3 Wafer-scale Single-domain-like Graphene with Enhanced Electronic Transport Properties by Defect-selective Atomic Layer Deposition of Hexagonal ZnO
Myung Mo Sung, JinWon Jung (Hanyang University, Republic of Korea)

Large-area graphene films produced by means of chemical vapor deposition (CVD) are polycrystalline and thus contain numerous grain boundaries that can greatly degrade their performance and produce inhomogeneous properties. A better grain boundary engineering in CVD graphene is essential to realize the full potential of graphene in large-scale applications. Here, we used atomic layer deposition (ALD) technique to deposit ZnO selectively on grain boundaries of the CVD graphene so as to depress the highly resistive effect of the grain boundaries. The ZnO ALD / Graphene film showed the electrical properties—a sheet resistance of 68 Ω/□, a field effect mobility of 7,460 and 2,610 cm2·V-1·s-1 for hole and for electron, respectively. A defect-selective atomic layer deposition (ALD) for stitching grain boundaries of CVD graphene with conductive ZnO so as to increase the connectivity between grains. In the present ALD process, ZnO with a hexagonal wurtzite structure was selectively grown mainly on the defect-rich grain boundaries to produce ZnO-stitched CVD graphene with well-connected grains. For the CVD graphene film after ZnO stitching, the inter-grain mobility is notably improved with only a little change in the free carrier density. We also demonstrate how ZnO-stitched CVD graphene can be successfully integrated into wafer-scale arrays of top-gated field-effect transistors on 4-inch Si and polymer substrates, revealing remarkable device-to-device uniformity.

AA-MoP-4 Atomic Layer Deposition Under in situ Ultraviolet Radiation for Highly Conductive Air Stable ZnO Thin Film Fabrication
Hong-rho Yoon, Myung Mo Sung (Hanyang University, Republic of Korea)

Compounds of ZnO is very attractive compound semiconductors due to their wide band gap (~3.3eV) characteristics and large exciton binding energy (60meV). However, ZnO preparing by low temperature atomic layer deposition (ALD) has low conductivity. Here, we report the highly transparent, and highly conductive air-stable thin film of ZnO using ALD at low temperature under in situ UV irradiation of the growing film. X-ray photoelectron spectroscopy (XPS) was used to reveal that the UV irradiation generates oxygen vacancies, partially removes O–H bonds, and thereby improves the electrical conductivity. Thus, the in situ UV irradiated ZnO film shows an electrical resistivity of 5.5 × 10-4 Ω cm, compairing to 0.25 Ω cm resistivity of the pristine ZnO film, and an optical transparency of nearly 90%. In addition, even on prolonged exposure of the film to air, it maintains high stability and conductivity against the degradation of the electrical conductivity.

AA-MoP-5 Annealing Temperature Modulated Interfacial and Electrical Properties of PEALD-derived HfLaO/Si Stack
Duo Cao, Feng Liu, Hui Shi, Wangzhou Shi (Shanghai Normal University, China); Xinhong Cheng, Li Zheng, Lingyan Shen, Yuehui Yu (SIMIT, Chinese Academy of Sciences, China); Jia Wang (University of California Los Angeles)
HfLaO dielectric films have been shown to lead to significantly superior performance as the gate dielectric layer. Recent research on HfLaO has shown this material demonstrates a high crystallization temperature and a low leakage current while preserving a low equivalent oxide thickness (EOT) value. In this work, we investigated the effects of rapid thermal annealing on the interfacial and electrical properties of HfLaO films deposited on Si substrate. Films with different thicknesses were grown by plasma enhanced atomic layer deposition (PEALD) at 200°C with in situ pre-O2, pre-NH3 and post-O2 plasma treatments. Films were treated with rapid thermal annealing (RTA) at a series of temperatures. The HfLaO films retain its insulating characteristics and are thermally stable even after annealing at 800 °C. X-ray photoelectron spectroscopy (XPS) spectra indicates that the main component of the interfacial layer is silicate without any silicide. Leakage current-voltage and capacitance-voltage characteristics of the MIS capacitors were obtained. Heat treatment can effectively improve the dielectric performance, such as increase of effective permittivity, reduction in ΔVfb and no obvious frequency dispersion. However, the increase of annealing temperature leads to the increase of leakage current density, which is due to the decrease of the conduction band offset (ΔEc) and further crystallization of the samples. These investigation results might provide the guidance for the performance optimization of high k gated dielectrics to meet the requirements of future complementary-metal-oxide-semiconductor (CMOS) devices.
AA-MoP-6 Atomic Layer Deposition of Yttrium Oxide using Heteroleptic Y Precursors
Daehyeon Kim, Jooho Lee (Air Liquide Laboratories Korea, Republic of Korea)

Rare earth based oxides are of interest for their potential application in future logic and memory technologies, and Yttrium oxide (Y2O3) is well-known as high-k material for metal gate transistor and a dopant for high-k materials. Not only semiconductor applications, usage for non-semiconductor applications (such as coating material) has been also considered. In past studies, heteroleptic precursors which have alkylcyclopentadiene and amidine ligands, Y(RCp)2(R’-amd), have been developed to aim to be liquid and to enhance its volatility and thermal stability. In this work, two heteroleptic precursors, Y(EtCp)2(iPr-amd) and Y(iPrCp)2(iPr-amd), and one homoleptic precursor, Y(EtCp)3 , were evaluated and their physical properties and ALD results were compared. Both Y(EtCp)2(iPr-amd) and Y(iPrCp)2(iPr-amd) showed high thermal stability and clean TG evaporation. Y(EtCp)2(iPr-amd) is liquid and showed the highest vapor pressure (1Torr at 150oC) among three precursors. Atomic layer deposition (ALD) of Y2O3 films was performed using these precursors with various oxidant such as ozone, oxygen, and water. Y(EtCp)2(iPr-amd) gave flat ALD window up to 450oC with a growth rate of 0.8~1.0Å/ cycle. X-ray photoelectron spectroscopy (XPS) showed that obtained thin film was pure and carbon and nitrogen impurities were below the detection limits. Step coverage of the film was also good (~100%, AR= 1:20 ) at 350, 400oC.

View Supplemental Document (pdf)
AA-MoP-7 Atomic Layer Deposition Y2O3 on GeSn/III-V for Application to MOSFET Common Gate Process
Chun-Lin Chu, Guang-Li Luo, Shih-Hung Chen, Wen-Fa Wu, Wen-Kuan Yeh (National Nano Device Laboratories, Republic of China)

Integration technology between GeSn and GaAs is attractive due to high GeSn hole mobility (>1500 cm2/Vs) and high III-V electron mobility (8500 cm2/Vs, GaAs) as well as the CMOS compactness (GeSn p-channel and III-V n-channel MOSFETs [1, 2]. Sub-nm equivalent oxide thickness (EOT) gate stacks are required to keep the intrinsically high performance of GeSn and III-V. The focus here is on finding suitable high permittivity (k) dielectric (k>20) to form a gate stack with low interface state density and EOT. The most perilous issue is engineering a high-quality interface between GeSn /III-V and the high-k dielectric, that is, passivation of the GeSn /III-V surface. An interfacial layer (IL) either intentionally or unintentionally formed during the high-k dielectrics deposition process is usually necessary for achieving high electrical performance of Ge and III-V based MOS devices, but its presence could increase EOT, and is not beneficial to device performance. Therefore, the IL has to be as thin as possible, and permittivity for dielectric has to be as high as possible. Atomic layer deposition (ALD) is an important tool to fabricate these proposed IL because it offers precise, monolayer-level thickness control. In order to further improve on interface properties of Y2O3/ GeSn and III-V interface, it is quite important to clarify the dominant factor determining the interface properties. Therefore, studies on interface structures and electrical interface properties are necessary. This paper aims to grow Y2O3 film by atomic layer deposition (ALD). It can produce high quality, high smooth surface and good stoichiometry Y2O3 film due to the self-limited growth mechanism. In this work, Pt/Y2O3/GeSn and Pt/Y2O3/GaAs capacitors similar to MOSFET gate stack process were fabricated. High interface states density and capacitor leakage current can be reduced by chemistry treatment. Capacitance-voltage (C-V) was done to discuss the electrical characteristics, such as equivalent oxide thickness (EOT), hysteresis reduction.

Refs : [1] A. Toriumi,et. al., IEDM Tech. Dig., (2011), p. 646. [2] S. Gupta, et. al., IEDM Tech. Dig., (2012), p. 375.

View Supplemental Document (pdf)
AA-MoP-8 Carbon Textile Decorated with Redox-Active Vanadium Hybrid for Flexible Supercapacitors
Do Van Lam, Seung-Mo Lee, Jae-Hyun Kim (Korea Institute of Machinery and Materials)

We demonstrate that, via V2O5 coating by low temperature atomic layer deposition and subsequent pyrolysis, ubiquitous cotton textile can readily turn into high-surface-area carbon textile fully decorated with pseudocapacitive VxOy/VC widely usable as electrodes of high performance supercapacitor. We found that carbothermic reduction of V2O5 (C + V2O5 → C’ + VC + CO/CO2(g)) leads to chemical/mechanical activation of carbon textile, thereby producing high-surface-area conductive carbon textile. In addition, sequential phase transformation and carbide formation (V2O5 → VxOy → VC) occurred by carbothermic reduction trigger decoration of the carbon textile with redox-active VxOy/VC. Thanks to the synergistic effect of electrical double layer and pseudocapacitance, the supercapacitors made of the hybrid carbon textile exhibited far better energy density with excellent cycling stability than the carbon textile simply undergone pyrolysis. Our method could open up a promising and facile way to synthesize hybrid electrode materials for electrochemical energy storages possessing advantages of both electrical double layer and pseudocapacitive material.

AA-MoP-9 MAPS (Mecaro’s Advanced Precursors System)-Ti Series: Atomic Layer Deposition of TiN or TiO2 Films Using New Titanium Precursors
Ho Hoon Kim, Dahyun Lee, Seonghak Cheon, Youngchang Yoo, Seungwon Ha, Jeumjong Kim, Younghun Byun (MECARO Company, Republic of Korea)

Size decrease demand in semiconductor devices has been increased for the last few decades. A thinner barrier layer with high uniformity and conformality and also excellent step coverage is needed for optimum layer filling.1 For these reasons, atomic layer deposition (ALD) has been introduced as a method to deposit conformal thin films in a structure having high aspect ratio, and the famous materials deposited by ALD are Al2O3, HfO2, ZrO2, ZnO, and TiN. Selection of appropriate precursor plays a critical role in the success of final ALD process since high temperature deposition is required, high thermal stability precursors are required as well. Titanium dioxide (TiO2) is an attractive candidate for several thin film applications, such as high dielectric constant material for electronic devices. TiO2 is also a constituent of several important multi-metal oxide systems, such as strontium titanates (STOs), barium strontium titanates (BSTs), and lead zirconium titanates (PZTs), for dielectric and ferroelectric applications.2 ALD processes for producing metal containing thin films comprise feeding into a reaction space vapor phase pulses of metal containing cyclopentadienyl-based ligands precursors as a metal source material. The quest for alternatives to cyclopentadienyl-based ligands has led to N-centered donor ligands in various fields of organometallic and coordination chemistry.

In this work, series of titanium complex (MAP-Ti series) having amine derivative have been synthesized and characterized as new precursors for ALD. The one of these new compounds was found to have properties well-suited for use as precursors for ALD of thin films. It has high volatility, high thermal stability, and high reactivity. The experimental details of film deposition and characterization will be reported briefly.


1(a) M. Ritala, Appl. Surf. Sci., 1997, 112, 223.

(b) S. M. George, A. W. Ott, J. W. Klaus, J. Phys. Chem., 1996, 100, 13, 121.

2 P. Alluri, P. Majhi, D. Tang, and S. K. Dey, Integr. Ferroelectr., 1998, 21, 305.

View Supplemental Document (pdf)
AA-MoP-10 MAPS (Mecaro's Advanced Precursors System): Atomic Layer Deposition of TaN and NbN Thin Films using Noble Metal Precursors
Woori Bae, Younghun Byun, Seonghak Cheon, Ho Hoon Kim, Minsung Kim, Seungwon Ha, Jeumjong Kim (MECARO Company, Republic of Korea)

New materials are needed to meet the requirements of the continuous downscaling of microelectronic devices. The copper-based interconnects in the next generation ultra large scale integration (ULSI) microelectronics is creating significant challenges for new barrier materials. Transition metal nitrides or metal silicides are considered the most promising diffusion barrier materials[1] for next generation ULSI microelectronics. In this work, tantalum and niobium nitride films were deposited with reducing agent or plasma and characterized, using newly designed MAP-Nb01 and MAP-Ta01 precursors which are characterized by various spectroscopies. The main goal of the present study was to deposit Nb and Ta metal nitride films by atomic layer deposition (ALD)2,3 method. Films deposited by ALD have excellent conformality and large area uniformity in addition to accurately controlled film thickness.

Protonated lithium amides together with other synthetic strategies have been extensively used to generate the imido ligand, neutral niobium and tantalum complexes have been synthesized and their functionalities were used as both ancillary and reactive sites. The diffusion barrier performance and gate electrode properties of TaN and NbN will be described in some detail. After an account of the experimental details of film deposition and characterization, the results will be reported briefly.


1 A.E. Kaloyeros and E. Eisenbraun, Annu. Rev. Mater. Sci. 30 (2000) 363-385.

2 T. Suntola, Thin Solid Films 216 (1992) 84-89.

3 M. Ritala and M. Leskelä, Nanotechnology 10 (1999) 19-24.

View Supplemental Document (pdf)
AA-MoP-11 The Impact of O2/N2 Ratio in O3 Based TiO2-Active Layer in Vacancy-modulated Conductive Oxide
Elie Schapmans (IMEC, Belgium)

Ozone is an effective oxidant used in atomic layer deposition of dielectric oxides. At imec, we have successfully developed ALD processes such as TiO2 ALD with both O3 and H2O. The O3 based TiO2 process resulted in high k Rutile phase when grown on Ru substrate or Anatase phase when grown on TiN substrate [1]. In both cases, applications in microelectronic devices have been demonstrated such as in MIM capacitors [1] and a resistive switching memory device with nonfilamentary switching mechanism called Vacancy-modulated conductive oxide VMCO [2]. Thermal ALD films were grown on blanket Si 300mm diameter wafers in a cross flow single wafer reactor at 250°C and 210°C deposition temperatures using Ti(OCH3)4 and O3 as precursors. O3 was delivered at a fixed density of 225g/m3 and formed with a different O2/N2 ratio. The TMEIC O3 generator made it possible to explore a complete range of O3, formed from N2 free up to highly N2 doped O3 at a fixed O3 density. The saturation behavior and the growth per cycle of TiO2 film were determined prior to the integration in the VMCO stack. This stack consists of a 10nm PVD TiN bottom electrode, a 5-10nm amorphous Si barrier layer followed by a ~ 8nm TiO2 switching layer and 100nm PVD TiN top electrode. We observed a gradual increase in growth rate by lowering the N2 gas flow used for O3 generation, up to a value of 0.04nm/cycle in the case N2 free O3 based TiO2. The growth rate also slightly decreases with deposition temperature reduction from 250°C to 210°C. All TiO2 films were amorphous as deposited regardless the N2/O2 ratio or deposition temperature employed. (Fig1) However, significant differences can be demonstrated via electrical measurements depending on the process conditions. In terms of breakdown voltage, we see an improvement of more than 1V with an high N2 flow during O3 generation at 210°C. The robustness of the stacks decreases in the case of N2 free O3 based TiO2.(Fig2)

[1].M.Popovici, J. Swerts, K. Tomida, D. Radisic, M.-S. Kim, B.Kaczer, O.Richard, H. Bender, A.Delabie, A. Moussa, C. Vrancken, K. Opsomer, A. Franquet, M. A. Pawlak, M. Schaekers, L. Altimime, S.Van Elshocht, J. A. Kittl,

Physica Status Solidi-Rapid Research Letters, 5(1),(2011)

[2] B. Govoreanu, L. Di Piazza, J. Ma, T.Conard, A.Vanleenhove, A.Belmonte, D. Radisic, M. Popovici, A. Velea, A. Redolfi, O. Richard, S. Clima, C. Adelmann, H. Bender, M. Jurczak,

2016 IEEE SYMPOSIUM ON VLSI TECHNOLOGY, June 13-16(2016)

View Supplemental Document (pdf)
AA-MoP-12 Effect of O2 Plasma Exposture Time on Al2O3/Si Interface Properties during Al2O3 Formation using PAALD
Kwan Hong Min (Korea Institude Energy Reseach, Republic of Korea); Jong Hoon Lee, Myeong Sang Jeong, Sung Jin Choi (Korea Institute of Energy Research, Republic of Korea); Min Gu Kang, Jeong In Lee, Sung Eun Park (Korea Institute Energy Research, Republic of Korea); Dong Hwan Kim (Korea University, Republic of Korea); Hee-Eun Song (Korea Institute Energy Research, Republic of Korea)
Thermal ALD and PAALD(Plasma Assisted ALD) are widely used as methods for Al2O3 deposition on Si surface. PAALD has the advantage of faster deposition rate compare to thermal ALD. On the other hand, it has disadvantage of the damage to Si surface because of plasma intensity as reaction energy for O2 dissociation. In this study, the effect of O2 plasma exposure time on Si interface was investigated. O2 plasma exposure time was varied from 0.5 to 10 second. Passivation properties such as carrier lifetime, implied voltage were measured by Qusai-Steady-State Photoconductance(QSSPC) and interface properties were analyzed by Capacitance-Voltage(C-V) characteristics. According to increasing O2 plasma exposure time, it is confirmed that passivation properties were decreased. Especially, 'hump' property was observed, which is known to be caused by the interface trap during C-V measurement at low frequency. Therefore, we could expect that the interface properties would be decreased. To confirm interface properties, fixed charge density and interface trap density of Al2O3/Si interface were extracted by C-V and conductance method. As a result, it was found that an increase of O2 plasma exposure time little affected on the fixed charge density but the interface trap density was much affected. Therefore, optimization of plasma condition is important for Al2O3 deposition using PAALD.
AA-MoP-13 Effect of Mechanical Stress on Ferroelectric Properties of Fully-Atomic Layer Deposition Processed TiN/HfZrOx/TiN Stacks
Changhwan Choi, Myeong Gyoon Chae (Hanyang University, Republic of Korea)

Due to the device scaling limit, alternative materials and device structures have been investigated to continue performance enhancement and power consumption reduction. Among them, Ferroelectric Random Access Memory (FeRAM), Ferroelectric Field Effect Transistor (FeFET), and Negative Capacitance Field Effect Transistor (NC-FET) are being actively researched as promising alternatives to present DRAM, FINFET, and NAND Flash. As a result, ferroelectric materials have garnered strong interest further. In the early step of research, conventional ferroelectric materials (PZT, BTO, SBT) were actively studied. However, due to poor scalability and bad electrical stability such as higher leakage and breakdown, which are considered as fundamental problems of these materials, CMOS-compatible hafnium dioxide (HfO2) based ferroelectric materials have been emerging actively.

In this study, we fabricated all atomic layer deposition (ALD) processed ferroelectric capacitor based on doped-HfO2. To investigate the effect of mechanical stress on ferroelectricity, top electrode titanium nitride (TiN) thickness was controlled. In the case of a device with a thicker TiN, a larger switching polarization and lower ferroelectric saturation voltage were observed compared to a device with a thin TiN. It can be seen that the thick electrode acts as a stressor to inhibit the formation of the monoclinic phase during crystallization and generates a ferroelectric orthorhombic phase. Our finding indicates that performance of ferroelectric materials based devices can be improved by enhanced ferroelectricity as a result of optimizing mechanical stress and thermal budget.

[Reference] 1. Kim, Si Joon, et al. "Large ferroelectric polarization of TiN/Hf0.5Zr0.5O2/TiN capacitors due to stress-induced crystallization at low thermal budget." Applied Physics Letters 111.24 (2017): 242901.

[Acknowledgment] This work was supported by the Future Semiconductor Device Technology Development Program (10080689) funded by MOTIE (Ministry of Trade, Industry & Energy) and KSRC (Korea Semiconductor Research Consortium).

AA-MoP-14 Atomic Layer Deposition of SnTe for Dopant Application of Phase-change Materials
Eui Sang Park, Chan Young Yoo, Woo Hyun Kim, Yoon Kyeung Lee (Seoul National University, Republic of Korea); Jea Sun Jung (Soulbrain, Republic of Korea); Cheol Seong Hwang (Seoul National University, Republic of Korea)

A phase-change random access memory (PCRAM) is one of the most promising candidates for the next-generation non-volatile solid-state memory. PCRAM is known for its low cost, high stability, and high compatibility with complementary metal–oxide semiconductor (CMOS) technology. Nevertheless, there are some commercialization issues that must be met such as reduction of switching power, faster phase transition speed, the stable resistivity in the amorphous state. Incorporation of phase-change materials (PCM) with 3D fabrication technology is another challenge to increase the memory density. The 3-D vertical structure was suggested for highly integrated array of cells in vertically integrated structure.4 In this vertical geometry, atomic layer deposition (ALD) can deposit a PCM film with its excellent step coverage due to the self-limiting growth mechanism.

In this work, an ALD process to form SnTe films was developed . According to the previous reports1-2, SnTe is proposed as a dopant or superlattice material to increase switching speed and reduce switching power owing to the weaker bond strength of Sn–Te (359.8 kJ mol−1) compared to the Ge–Te (397 kJmol−1).3 Relatively weak bond strength in phase change material could significantly increase the crystallization speed. Also, the resistivity in an amorphous state can be decreased with increasing Sn content.5 Precursors of [(CH3)2N]4Sn and (Me3Si)2Te were adapted for SnTe deposition. The process was studied in detail to verify the ALD behavior of SnTe film. Figure 1 shows that the growth of SnTe film was saturated at around 30 ng∙cm-2cy-1. Sn:Te ratio of the film was also saturated at the slightly Te-rich condition. GexSnyTez ternary deposition was performed using GeTe2 to confirm the doping possibility of Sn. GeTe2 was deposited with Ge(Oet)4 and (Me3Si)2Te precursors for Ge and Te.6 Figure 2 shows the GexSnyTez ternary deposition results through the alternating deposition sequences of the GeTe2 and SnTe layers. Apart from the initial conjecture, the film composition lye on GeTe2-SnTe2 tie line rather than GeTe2-SnTe tie line, suggesting that the complicated chemical interaction is involved in the ternary film growth. Detailed discussions on the growth behavior will be made in the presentation.

View Supplemental Document (pdf)
AA-MoP-15 Atomic-Layer-Deposited LiAlO Protective Layer for Li Metal Anode in Li-ion Secondary Batteries
Hag Seung Lee, Dae Woong Kim, Tae Joo Park (Hanyang University, Republic of Korea)

Li metal anode for Li-ion secondary batteries has been recently developed to enhance the battery performances. However, the physicochemical instability of Li causes a dendrite formation at the electrode surface by electrochemical reaction with electrolyte during charging/discharging cycle. Even though several protective layers were suggested, most of them have common problems of conformality and low conductivity. To address this problem, we suggest atomic-layer-deposited (ALD) Li-Al-O protective layer which is free from physicochemical degradation by lithiation during charging/discharging cycle. The addition of Li ions to metal oxides such as Al2O3 tends to increase the ionic conductivity.[1] ALD Li-Al-O thin films with various compositions were grown by repeated sub-cycle of ALD LiOH and Al2O3, and thickness was measured by spectroscopic ellipsometry (Fig. 1). The process temperature was controlled below 100oC for avoiding degradation of Li metal during ALD. The composition of thin films was estimated by X-ray photoelectron spectroscopy. The ionic conductivity of thin films was measured by electrochemical impedance spectroscopy. The experimental results will be presented in detail.

[1] A.M. Glass and K. Nassau, J. Appl. Phys., Vol. 51, No.7, July 1980

View Supplemental Document (pdf)
AA-MoP-16 Effect of Growth Temperature on the Structural and Electrical Properties of i-ZnO by Atomic Layer Deposition Method
Yeonbae Shin, Vinayakumar Arepalii, Jeha Kim (Cheongju University, Republic of Korea)

Highly resistive i-ZnO can play a critical role to prevent leakage current paths from CIGS thin film solar cells. In this work, we demonstrated the effect of growth temperature on the structural and electrical properties of i-ZnO using atomic layer deposition (ALD) method on to both Si (100) and soda lime glass (SLG). The deposited films were characterized by performing scanning electron microscopy (SEM), X-ray diffraction, UV-Visible spectroscopy, and four-point probe measurements. The four-point probe measurement revealed that samples grown at 80 showed higher resistivity of 106 Ω.cm whereas sample grown at 180 showed low resistivity of 10-3 Ω.cm. We will further discuss the device characteristics of CIGS solar cells with using both highly resistive and low resistive ZnO.

Acknowledgement: This research was supported by the Technology Development Program to Solve Climate Changes of the National Research Foundation (NRF) funded by the Ministry of Science, ICT & Future Planning(NRF-2016M1A2A2936759) (NRF-2017M1A2A2087575).

Keywords: ZnO, ALD method, solar cells, growth temperature

AA-MoP-17 Atomic Layer Deposition of GeSe Films with Discrete Feeding Method for Ovonic Threshold Switch
Woo Hyun Kim, Cheol Seong Hwang (Seoul National University, Republic of Korea)

Phase-change Random Access Memory (PcRAM) is a strong candidate for the next-generation memory with characteristics of non-volatility, fast operating speed, and low power consumption. To overcome the existing limitations in the memory density of the PcRAM in 2D structure, the 3D-stackable memory of the crossbar array has been proposed as a promising solution. Despite the advances in 3D structural designs to scale down the memory, sneak current that frustrates accurate reading/writing of data in each cell remained a critical issue. The selector devices such as a P-N junction diode, metal-insulator-metal diodes, Ovonic Threshold Switch (OTS) have been devised to minimize these errors in operation.

Among the options for the selector device, OTS based on the volatile voltage snapback effect of amorphous chalcogenides possesses several desirable characteristics: bidirectional switching, processability for 3D structure and controllable threshold voltage. Among the materials that can be used as OTS, GeSe has strong glass forming ability (Tcry~340 ºC) compared to GeTe (Tcry~150 ºC) with a simple binary composition. Here, a new method for depositing GeSe films by Atomic Layer Deposition (ALD) was described in which HGeCl3, [(CH3)3Si]2Se were used as Ge-, Se-precursor, respectively. The precursors formed the films through a ligand exchange reaction at a low substrate temperature of 70-150 °C . However, the pseudo-saturation behavior of the ALD, which takes a long time to achieve the saturation growth rate, was observed due to the physisorbed precursor and byproduct molecules on the reaction sites. To overcome slow saturation and excessive use of the Ge-precursor, we applied Discrete Feeding Method (DFM) where HGeCl3 was supplied multiple times consecutively with subdivided pulse times. The ALD without DFM refers to a conventional ALD process where Ge and Se pulse and purge were injected alternatively. The ALD with DFM, by comparison, divides the total Ge pulse and purge times into several shorter times. DFM led to saturation of GeSe growth rate at a much shorter total injection time of Ge precursor and improved the morphological/chemical qualities and electrical performance of the film. Also, these GeSe films exhibit short switching time of ~40 ns, ON/OFF ratio of ~107, the selectivity of ~104, and the OTS behavior consistent with the modified Poole-Frenkel (PF) mechanism in the OFF state. The ALD method of GeSe films will contribute to the fabrication of 3D integration memory as selector device for preventing sneak current.

View Supplemental Document (pdf)
AA-MoP-18 Low-temperature Atomic Layer Deposition of Hafnium Oxides using NH3 as the Catalyst.
Nak-Kwan Chung, Yuntae Kang, Sojung Kim, Ju-Young Yun, Jintae Kim (Korea Research Institute of Standard and Science (KRISS), Republic of Korea)

We have presented low-temperature preparation of hafnium oxides (HfO2) by atomic layer deposition (ALD). The hafnium oxide thin films were deposited from tetrakis ethylmethylamino hafnium (TEMAHf) as the Hf precursor, ozone (O3) as the reactant, and NH3 as the catalyst on 200 mm silicon wafers at substrate temperatures from 50 to 300°C. The properties of HfO2 films were investigated by scanning electrom microscopy, X-ray photoelectron spectroscopy, and X-ray deflection. The quality of the films formed at low temperature of 50°C using the combination of O3 and NH3 compares well with deposition at higher temperatures (350°C) using O3 only.

AA-MoP-19 Electrical Properties of Al-doped SrTiO3 Films Grown by Atomic Layer Deposition on Ru Electrodes
Sang Hyeon Kim, Cheol Hyun An, Dae Seon Kwon, Seong Tak Cho, Soon Hyung Cha, Cheol Seong Hwang (Seoul National University, Republic of Korea)

Atomic layer deposition (ALD) of Al-doped SrTiO3 (STO) dielectric thin films were investigated in metal-insulator-metal capacitors for the dynamic random access memory application. STO thin films exhibit much higher dielectric constant compared with currently used ZrO2-based films, but the leakage current density is higher due to their low band-gap energy. To decrease the leakage current density, several cycles of ALD-Al2O3 were inserted at the bottom portion of the STO film. Leakage current was increased with increasing insertion amount of Al2O3 for 2 ~ 5 cycles, and STO (110) peak on XRD was broadened as the Al2O3 layer was inserted. This is due to the deteriorated crystallization of the STO film during the ALD, which required higher post-deposition annealing (PDA) temperature. The higher PDA temperature induced nano-cracking of the STO film, which caused the leakage current increase. Therefore, only one cycle of the Al2O3 layer was adopted. The STO ALD was performed using Sr(iPr3Cp)2 and Cp*Ti(OMe)3 [Cp* = C5(CH3)5] as the Sr- and Ti-precursors, using H2O and O3 as the oxygen source of the SrO and TiO2 sub-layer growth, respectively, at a substrate temperature of 370oC, according to the previous report. [1] Al(CH3)3 and O3 were used for the Al2O3 ALD.

The investigation of the Al2O3 cycle insertion position from the bottom of the film to the top of the film showed that top insertion exhibited the leakage current reduction effect while maintaining the crystallinity of the entire STO thin film. As a result, the leakage current of the STO thin film with a thickness of 9nm decreased from 10-6 A/cm2 to 10-8 A/cm2 at 0.8V. The achieved minimum attainable equivalent oxide thickness (EOT) of the (top) RuO2/STO/Ru (bottom) capacitor was ~0.7 - 0.8nm, with an acceptable leakage current density (~2.7 X 10-8A/cm2), which was possible in the films with thicknesses > ~12nm without the Al-doping. Compared with the Al-doping effect in TiO2 [2], the same process induced a much more obvious degradation in the crystallinity of the STO, when the Al2O3 layer was inserted within the bulk STO layer. Further examination results of the physical and chemical properties of the Al-doped STO film will be presented.

[1] Woongkyu Lee et. al., Chem. Mater., 25, 953 (2013)

[2] Seong Keun Kim et. al., Adv. Mater., 20, 1429 (2008)

AA-MoP-20 Temperature Effect on Thermally Grown AlN Films by Atomic Layer Deposition
Yong Kim, Min Soo Kim, Hee Ju Yun, Won Hee Jeong, Byung Joon Choi (Seoul National University of Science and Technology, Republic of Korea)

Studies of resistive random access memory (RRAM) have been vigorously conducted due to advantages, such as low operating voltage, high reliability, and simple structure. Until now, most of the switching materials used in RRAM are oxide materials owing to its stability and abundance in nature. However, nitride materials, such as AlN, GaN, TaNx as well as Si3N4 have been also confirmed to show resistive switching characteristics. Among them, AlN-based switching materials showed resistive switching phenomena with low energy and high speed by formation of Al-rich conducting channel owing to the generation of nitrogen vacancies. Moreover, AlN has a large band gap (~6.2eV), high thermal conductivity and dielectric constant. Therefore, AlN can be applied for high-k gate dielectric material, various functional layer and resistive switching layer for RRAM applications.

In this study, AlN thin film is deposited by thermal atomic layer deposition (ALD) that is self-limiting deposition technique through the ligand exchange of the precursor molecules and surface functional groups. This process uses the Trimethylaluminum (TMA) and NH3 as a metal precursor and reaction gas, respectively. The growth rates according to growth temperatures are shown in Fig. 1(a), at the wafer temperature of 265, 274, 287, 307, and 335°C. The inset of Fig. 1(a) shows Arrhenius plot (1000/T) of the growth rate. Through the slope of this fitting line, the reaction activation energy of AlN (~55 kJ/mol) can be obtained. Physical and chemical properties of AlN films grown at the different temperatures are investigated by X-ray diffraction (XRD), Auger electron spectroscopy (AES) and X-ray photoelectron spectroscopy (XPS). Fig. 1(b) shows the average concentration of each element in the AlN thin film. Decrease of oxygen and increase of nitrogen concentration can be observed as the deposition temperature increases. Also, the electrical properties of AlN films having the Al/AlN/Pt structure are studied. The DC I-V and J-E curve of the device are measured at room temperature. As a result, the conduction mechanism for the device is well fit into the Poole-Frenkel emission, where trap site can be attributed to the point defect, such as ON and VAl according to the trap depth energy.

Acknowledgment

This research was supported by Basic Science Research Program through the National Research Foundation of Korea(NRF) funded by the Ministry of Education(2017R1D1A1A09000809).

AA-MoP-21 Ultrathin ALD Ru Film Deposition using Discrete Feeding Method (DFM) and Electric Field Assisted ALD (EA-ALD)
Hyun Soo Jin, Tae Joo Park (Hanyang University, Republic of Korea)

Ruthenium (Ru) has been considered as a promising electrode material for next generation semiconductor devices due to its low resistivity (~ 7 μΩ · cm), high oxidation resistance, and existence of conductive oxide phase, RuO2 (~ 30 μΩ‧cm). [1] As a complicated 3-dimensional integration scheme has been developed recently for improving the degree of device integration, ultrathin (< 5 nm) and uniform Ru metal electrode is required. Even though atomic layer deposition (ALD) of Ru film was employed, it is difficult to achieve ultrathin and continuous thin film due to its island growth nature at the initial stage of growth. [2]

In this work, we demonstrate efficient Ru film growth using discrete feeding method (DFM) and electric field-assisted ALD (EA-ALD) technique, which enabled to reduce the critical thickness of continuous metal thin film by improving chemical adsorption efficiency and surface coverage at the initial stage of thin film growth. DFM eliminates the physisorbed precursor molecules on the chemisorbed screening the active sites for the following precursor molecules by using cut-in purging during a metal precursor pulse, which increased the density of Ru nuclei at the initial growth stage resulting in a lower resistivity. (Fig.1) EA-ALD enhances the nucleation of Ru by attracting the precursor molecules onto a substrate with the help of electric field applied to the substrate during precursor pulse. As a result, layer density of the Ru film increased, and thus the resistivity significantly decreased. (Fig.2)

References

[1] Jani Hämäläinen, Mikko Ritala, Markku Leskelä, Chem. Mat. 26 (2014), 786.

[2] T.E. Hong, S.H. Choi, S.M. Yeo, J.Y. Park, S.H. Kim, T.H. Cheon, H. Kim, M.K. Kim, H.J. Kim, ECS J. Solid State Sci. Technol. 2 (2013), P47.

View Supplemental Document (pdf)
AA-MoP-22 Formation of Antireflection Structures on Silicon Substrates in Near-infrared Region using AlOx/TiOx Bilayer Grown by Atomic Layer Deposition
Yong Tae Kim, Jaeyeong Heo (Chonnam National University, Republic of Korea)

Antireflection (AR) layers on Si were investigated for potential application in optical communication in the wavelength range of 1270–1330 nm. Essential Macleod program was used to find the optimal thickness of the AR structures using AlOx/TiOx bilayer schemes. Achievement of the reflectance less than 0.5% was simulated using AlOx/TiOx AR structures. For experimental demonstration, atomic layer deposition (ALD) was used for the growth of AlOx and TiOx. Average reflectance of 0.2% was achieved in the wavelength ranging from 1270–1330 nm using ALD-AlOx/TiOx on Si. Despite the low reflectance of 0.2%, transmittance of ~88%, which is slightly lower than expected, was obtained. Additional air annealing at 300 oC for 2 hr enabled the crystallization of amorphous TiOx into anatase phase and it led to the improvement in transmittance up to ~99%. X-ray photoelectron spectroscopy analysis revealed that the oxidation state of Ti in TiOx influences the absorption in the near-IR region.

AA-MoP-23 Optimization of RuO2 Thin Films on NiO Nanostructures by Atomic Layer Deposition for Hybrid Capacitor
Chang-Min Kim, Se-Hun Kwon, Sang Ok Lee (Pusan National University, Republic of Korea)

Developments of high-performance electrochemical energy storage device is a vital issue in world wide. Electrochemical supercapacitors are one of the most promising energy storage devices in comparison to lithium-ion batteries because it can provide a higher power density, faster charge/discharge rate, and longer working lifetime. In this regard, intense effort has been focused on the fabrication of electrical double layer supercapacitors (EDLC) based on porous carbonaceous materials for commercialization, but this storage system limits the specific capacitance relative to their theoretical value. However, faradic pseudocapacitors based transition metal oxides or mixed oxides provide much higher specific capacitance than EDLC due to superior redox process for charge storage at the surface as well as near the surface of the active electrode. However, nanostructured NiO on Ni foam has been considered as a one of the promising pseudocapacitor materials. Unfortunately, it suffers from stability of the nanostructures during charge and discharge resulting the lower cycle life. Anyhow, ability to control the issue atomic scale thin layer coating of other metal oxides or nobel metal oxides on the surface of NiO is an efficient approach. In this respect, one of the most powerful technique for atomic scale coating is atomic layer deposition (ALD). Herein, we demonstrate the use of ALD for ultrathin RuO2 coating on surface of three dimensional NiO nanostructure for supercapacitor application . The optimum coating thickness (~ 20 nm) of RuO2 provide improved electrochemical performance with enhanced cycling stability. We demonstrate that RuO2 not only prevents the nanostructures of NiO during charge and discharge process but also facilates charge transport and electrolyte diffusion. The sample shows an ultrahigh specific capacitance 1060F/g.

AA-MoP-24 Screening of ALD Barrier Materials Towards use in LED Lightning
Riina Ritasalo, Tommi Suni, Tero Pilvi (Picosun Oy, Finland); Sebastian Taeger, Eva Hörner (OSRAM Opto Semiconductors GmbH, Germany)

Atomic layer deposition (ALD) technique has traditionally been used for controlled deposition of high quality thin films for the semiconductor industry. One of the fields benefiting from ALD technology that has been growing very rapidly recently is optoelectronics, including LEDs. Yole development has forecasted that the market of packaged LEDs will exceed 20 B$ by year 2020 [1].

Fabrication of high performance LEDs requires the use of sensitive materials that can limit the operating lifetime of the device due to insufficient passivation from the environment. Before the materials can be implemented to commercial products, these materials need to be protected from damage caused by environment or next process steps. Conformal, uniform and pin-hole free ALD thin film can give a perfect solution for achieving this when properly designed.

SiO2 and SiNx by PECVD and Al2O3 by ALD are the most common inorganic barrier materials used in microelectronics and in LED-technology. SiO2 is a rather poor barrier against moisture and can only be used for insensitive devices or in combination with other layers. SiNx is absorbing in the blue / UV wavelength regime and therefore limited in its use for optical devices. Al2O3 is a good barrier, but because of its refractive index right between silicon and GaN, it is not optimal for this purpose. In addition its chemical instability limits its use within the process flow of LED manufacturing. Currently there is no barrier film or stack available that fulfills all requirements for LED manufacturing in an ideal way. In the literature different kinds of thin film materials are described that have promising properties, but there is no systematic study that covers all relevant materials and all important properties.

In our work we have made material screening and process development in order to choose the best solutions for LED applications. The PICOSUN™ R-200 Advanced ALD system was used for the depositions. The selected materials included Al2O3, Ta2O5, SiO2, Nb2O5, TiO2, HfO2, ZrO2, and Y2O3. Deposition temperature varied from 100°C to 250°C. The film properties such as morphology, crystallinity, porosity, refractive index, absorption, transmittance, WVTR, composition, breakdown field strength, leakage current and WER were studied to evaluate material compatibility for LED lighting.

[1] LED Packaging 2016: Market, Technology and Industry Landscape report – Yole Développement – November 2016

Acknowledgements: The research has received funding from FLINGO project (M-ERA.NET Transnational Call 2015) through national funding agencies.

AA-MoP-25 Investigation of Band Structure on Amorphous Zinc Tin Oxide Thin Films Grown by Atomic Layer Deposition for Cd-free CuGaSe2 Solar Cells
Sunyoung Lee (Konkuk University, Republic of Korea); Ah Reum Jeong, Jeung-Hyun Jeong (Korea Institute of Science and Technology, Republic of Korea); Yo-Sep Min (Konkuk University, Republic of Korea)

Thin film photovoltaic cells require a buffer layer in a window layer stack to prevent unfavourable conduction band line-up which causes interface recombination. Zinc tin oxide (ZTO) has recently attracted great attention as an alternative buffer layer, since this material exhibits some advantages over the existing CdS. However, their work function which is an essential parameter for band alignment at equilibrium has not been characterized in detail. Here, we investigated compositional, structural and optical characteristics of ZTO thin films to construct the band diagram for films with different Sn contents.1 The relationship between the different Sn contents of ZTO films and the performance of CuGaSe2 (CGS) solar cells are also examined. ZTO films were grown at 150 °C by atomic layer deposition (ALD) using tetrakis(dimethylamido)tin(IV), diethylzinc, and water. The ZTO films were amorphous and exhibited wider optical bandgaps of 2.95–3.07 eV than that (2.45 eV) of CdS. Chemical structure and work function analysis were performed by X-ray photoelectron spectroscopy and Kelvin probe force microscopy, respectively. It indicated that the incorporation of Sn into ZnO strongly influenced the content of oxygen vacancies and work function which is related to carrier concentration. In addition, we found an optimal composition for high solar cell conversion efficiency. The band diagram of the ALD-ZTO films will be useful to understand the band alignment of a photovoltaic cell with a buffer layer of ZTO film.

AA-MoP-26 Optical and Electronic Properties of ALD-grown TiO2 Films on a Thin Glass Substrate for Applications in Photocatalysis
Yoon Sang Lee, O Seong Kwon, Yu Kwon Kim (Ajou University, Republic of Korea)

Anatase TiO2 films were grown on thin glass substrates using titanium tetraisopropoxide (TTIP) and H2O as precursors. The as-grown TiO2 films on the glass substrates were transparent up to the thickness of about 100 nm and found to exhibit anatase phase with a good crystallinity. For a potential application in photocatalysis with a maximum use of visible light, the films were subject to post-nitridation processes under the flow of ammonia at elevated temperatures up to about 600oC. Under the process condition, the films were found to undergo nitridation toward titanium oxynitrides with a varying degree of N content and the enhanced visible absorption. The optical abaorption properties were systematically measured to exhibit enhanced aborption in the visible region depending on the degree of nitridation. The bonding structure and and detailed distribution of nitrogen in the films were further evaluated by x-ray photoelectron spectroscopy. The results confirmed the existence of substitutional and interstitial nitrogen species in the film and their relative concentrations were found to be strongly dependent on the process condition. Depth distribution of these N species was further analyzed and used to explain the changes in the optical properties of the films. Finally, photodegradation efficiency of the films under the visible light was also evaluated.

AA-MoP-27 Atomic Layer Deposition as a Tool to Influence the Sintering of Ni Nanoparticles Supported in the Mesopores of SBA-15
Piyush Ingale, Chengyue Guan, Raoul Naumann d’Alnoncourt, Arne Thomas (Technische Universität Berlin, Germany); Frank Rosowski (BASF SE)

Nickel-based catalysts are typically used for the production of synthesis gas by reforming reaction1. Dry reforming of methane is an attractive reaction for production of synthesis gas by reduction of carbon dioxide. However, Ni nanoparticles (NPs) used in DRM reaction suffer from severe coking and sintering at high temperature which leads to decreased activity of the catalyst2,3. The challenge is to synthesize a catalyst with a high Ni loading and dispersion that is stable under reaction conditions. A high internal surface area makes SBA-15, a material based on uniform hexagonal pores with narrow pore size distribution, a well-suited support material for highly dispersed metal catalysts. By the addition of fluoride ions and variation of ageing time during synthesis, SBA-15 with large mesopores and a reduced fraction of micropores is accessible4. Large mesopores allow modification of the catalyst via ALD. Our goal is to investigate the influence of thin alumina layers deposited via ALD of TMA/H2O on the sintering behaviour of Ni NPs supported in the mesopores of SBA-15.

ALD was carried out in a thermal magnetic suspension balance and a fixed bed reactor5. Different catalysts were prepared via ALD of TMA/H2O on Ni/SBA-15 catalysts as shown in figure 1. The thin alumina layers were deposited either before impregnation of the support with NiO NPs or after impregnation of SBA-15 with NiO NPs. The high temperature applied for NiO reduction and during catalytic testing introduces porosity into the deposited alumina layer, due to shrinking and cracking, making Ni accessible for the gas phase. Strategy C (see Fig.1) aims at producing Ni NPs inside of porous alumina cavities.

The catalysts were tested for activity and stability during dry reforming of methane at different temperatures (500°C-800°C). Sintering of Ni NPs was investigated using XRD and TEM before and after catalysis, and after thermal treatment in different gas atmospheres.

References

1) S. Arora, et al; RSC Adv.,6,2016,108668

2) E. Baktash, et al; Applied Catalysis B: Environmental 179,2015,122

3) D. Pakhare, et al; Chem. Soc. Rev., 43,2014,7813

4) V.A. Perfenov et al; Glass Phys Chem, 40,2014,1

5) V.E. Strempel, et al; Rev. Sci. Instrum., 88, 2017, 074102

View Supplemental Document (pdf)
AA-MoP-28 Metal-doped HfO2 for Ferroelectric Tunneling Junction Applications using Atomic Layer Deposition
Chi Thang Nguyen (Incheon National University, Korea)

Conventional non-volatile memories have separate storage of information and transmission of signals. Therefore, there are many difficulties in improving the fabrication steps and operation speed of the devices. In order to overcome these technical limitations, recently, studies on ferroelectric memory devices have been actively conducted. Typically, a metal/ferroelectric layer/metal (MFM) structure has been used for ferroelectric tunnel junction (FTJ) and in addition, a semiconductor bottom layer is used to improve on/off ratio by formation of a Schottky barrier across the ferroelectric-semiconductor junction. However, the on/off ratio of the metal/ferroelectric/semiconductor (MFS) structure is still insufficient to be used for a non-volatile memory device. Recently, in a report, ferroelectric-antiferroelectric properties were switched by controlling doping ratio in a thin HfO2 layer with specific elements. In this work, atomic layer deposition (ALD) method is used to precisely control specific metal dopants during the HfO2 deposition cycles, and the electric properties of the metal-doped HfO2 are investigated in different doping conditions. To understand the results, microstructure and chemical composition of the metal-doped HfO2 are correlated with theoretical calculation results by density functional theory (DFT).

View Supplemental Document (pdf)
AA-MoP-29 Atomic Layer Deposition of Al- and Ga-doped HfOx Films for Resistive Switching Layer
Sungyeon Ryu, Seung Ik Oh (Seoul National University of Science and Technology, Republic of Korea); Woo Young Park, Soo Gil Kim (SK Hynix, Republic of Korea); Byung Joon Choi (Seoul National University of Science and Technology, Republic of Korea)

Bipolar type resistive switching random access memories (RRAMs) utilized by TaOx and HfOx as switching materials, have been demonstrated superior to unipolar type RRAMs owing to the low switching current and better reliability. Likewise TaOx switching layer, bias-polarity-dependent valence change mechanism in HfOx is attributed to the repeated generation and rupture of conducting filaments (CF), which could be the clusters of oxygen vacancies (Vo). It has been reported that crystalline HfO2 film could not create enough oxygen vacancies for resistive switching.1) Crystalline HfO2 RRAM may cause higher forming voltage, which in turn, device variability could be worse or even catastrophic breakdown could be happen. To change the structure of the film, defect engineering by doping has been deliberately investigated by using various methods, such as, co-sputtering, implantation, thermal and photo-assisted diffusion, etc.

In this study, Al- and Ga-doped HfOx films are grown by using atomic layer deposition (ALD) to change the structural and chemical properties of HfO2 film. Tetrakis(ethylmethylamino) hafnium (TEMAH), trimethyl aluminum (TMA), and cyclic dimethylamide gallium (Ga(NMe2)3) are used as Hf, Al, and Ga precursors, respectively, and H2O as oxidant gas. Al-doped HfO2 film was deposited at 250℃, while Ga-doped HfO2 film was deposited at 200℃ to protect thermal decomposition of Ga source. XRD, SEM, EDS, AES, and XPS are used to examine the structural and chemical properties of the film. The device having TiN contact-plug with 50 to 2000-nm-diamenter formed in SiO2 inter-layer is fabricated. Electrical property is measured by semiconductor parameter analyzer (HP-4155) and function generator (AFG-3102) for DC and AC measurements. Dopant concentration and location are controlled by the ALD cycle ratio and sequence. Through Al doping, pristine resistance and forming voltage of the device are increased with increasing Al cycle ratio as shown in Fig 1(a) and (b). On the other hand, Ga-doped HfO2 device shows forming-free and reverse switching polarity as shown in Fig. 1(c). The resistive switching characteristics caused by internal structure of Al- and Ga-doped-HfO2 films will be presented in detail.

Acknowledgment

This paper was result of the research project supported by SK hynix Inc.

Reference

M. G. Sung, W. G. Kim, J. H. Yoo, S. J. Kim, J. N. Kim, B. G. Gyun, J. Y. Byun, T. W. Kim, W. Kim, M. S. Joo, J. S. Roh, and S. K. Park, in Proc. International Reliability Physics Symposium., 6B.5.1 (2011).
AA-MoP-30 Synthesis of Well-Defined POx/V2O5 Powder Catalysts via Atomic Layer Deposition
Kristian Knemeyer (Technische Universität Berlin, Germany); Verena Strempel (BASF SE, Process Research and Chemical Engineering); Christian Schulz, Jingxiu Xie, Raoul Naumann d'Alnoncourt (Technische Universität Berlin, Germany); Matthias Driess (Institut für Chemie, Germany); Frank Rosowski (BASF SE, Germany)

The selective oxidation of n-butane to maleic anhydride (MAN) is an industrial process with a world capacity of over 1 Mt/year. In this highly complex reaction the catalyst plays an essential role for the conversion of butane and selectivity towards MAN. Suitable catalysts for this reaction consist of vanadium, oxygen, and phosphorous, such as vanadyl pyrophosphate (VO)2P2O7. Vanadium oxides without phosphorous are total oxidation catalysts with minor selectivity towards MAN.

This paper focusses on the modification of V2O5 by depositing various amounts of POx via ALD. Subsequent catalytic testing in selective oxidation of n-butane was applied to investigate the influence of P on catalytic performance. The ALD process was carried out in a magnetic suspension balance (MSB)[1][2] to determine ideal ALD conditions and to prove self-limiting growth. Samples with varying amounts of POx on V2O5 were prepared by performing different ALD cycle numbers with subsequent calcination at 450°C in synthetic air. The as prepared samples were characterized and tested in an automated parallel reactor set-up. ICP-OES analysis show a steady increase of P amount with ALD cycle number but the growth per cycle decreases. No significant loss of P was obtained during calcination or during the reaction, which is in contrast to wet impregnated and subsequent calcined POx/V2O5 catalysts.[3] Catalytic testing shows a huge influence of P on the selectivity towards MAN. Pure V2O5 is a total oxidation catalysts with a selectivity <5 % to MAN at low conversion and temperatures, whereas adding P leads to a high increase of selectivity. Conversion is barely influenced by adding phosphorous.

[1] V. E. Strempel, R. Naumann D’Alnoncourt, M. Driess, F. Rosowski, Rev. Sci. Instrum. 2017, 88, DOI 10.1063/1.4992023.

[2] V.E. Strempel, K. Knemeyer, R. Naumann d’Alnoncourt, M. Driess, F. Rosowski, Nanomaterials, 2018, in preparation

[3] V. E. Strempel, D. Löffler, J. Kröhnert, K. Skorupska, B. Johnson, R. Naumann D’Alnoncourt, M. Driess, F. Rosowski, J. Vac. Sci. Technol. A Vacuum, Surfaces, Film. 2016, 34, 01A135.

AA-MoP-31 Use of New Cyclopentadienyl Tris(dimethylamino) Based Zirconium Precursors for the Leakage Current Reduction of Atomic Layer Deposited ZrO Thin Films
Baek Su Kim (Seoul National University, Republic of Korea); Han Joon Kim, Seung Dam Hyun, Young Hwan Lee, Keum Do Kim, Tae Hwan Moon, Hyun Woo Park, Yong Bin Lee, Jang Ho Noh (Seoul National University and Inter-University Semiconductor Research Center, Republic of Korea); Cheol Seong Hwang (Seoul National University, Republic of Korea)

ZrO2 materials have attracted a great deal of attention as they are widely used in the ZrO2/Al2O3/ZrO2 (ZAZ) structure of DRAM capacitors and their mixture with HfO2 exhibit promising ferroelectric properties. Decreasing the off-state leakage characteristics of DRAM capacitors with ZrO2 materials is critically important for further scaling, which can be aggravated by subsequent high-temperature annealing for crystallization. Hence, reduction of the leakage current can be expected if the thermal budget is lowered by inducing in-situ crystallization during a high-temperature atomic layer deposition (ALD) process followed by low-temperature post-deposition annealing (PDA). Recently developed New Cyclopentadienyl Tris(dimethylamino) based Zirconium ( PCp -Zr) is used as a Zr precursor since it has higher thermal stability compared with the conventional Cp-Zr or amide-based precursors. O3 was used as an oxygen source and, ALD was performed at 300°C and 350°C on the sputtered TiN substrates, which were relatively higher than the conventional ALD temperatures between 250°C and 280°C. Capacitance-voltage (C-V) characteristics were measured at the as-deposited state and after the PDA at different temperatures of 450°C, 500°C, and the dielectric constant was obtained from the slopes of the equivalent oxide thickness vs. physical oxide thickness plots. For the ALD temperature of 300°C, the achieved dielectric constant for the as-deposited film, and after the PDA at 450°C, 500°C was 27.3, 28.9, and 29.1, respectively. The corresponding values of the film grown at 350 oC were 41.3, 22.9, and 29.1, respectively, suggesting that the increasing ALD temperature was not as efficient as expected initially. The leakage characteristics were confirmed by current-voltage measurements at thicknesses between 5.7 nm and 9.8 nm under the specified annealing conditions. As a result, the leakage current density of 10-7 A/cm2, which is a necessary condition of DRAM capacitors, was obtained for all of the conditions at 0.8 V. Further research will be necessary to fully explore the potential of this newly developed precursor.

View Supplemental Document (pdf)
AA-MoP-32 Control of Refractive Index by Atomic Layer Deposition on Various Textile Surfaces
Woo Hyeok Kwon, Mohammad Rizwan Khan, Hyun Gu Kim, Han-Bo-Ram Lee (Incheon National University, Republic of Korea)

Attempts to integrate various electronic systems and sensors into textiles have been made for future wearable electronics. Conducting textile which is a key component for these wearable electronics is usually called electronic textiles (e-textiles). Since most of conventional textiles are electrically insulator, conducting materials, such as metals, should be added to textiles during or after synthesis processes of textile. In the aesthetic point of view, however, the addition of metal for fabrication of e-textiles has a big disadvantage that is grey and black color of textile from the reflection and scattering of metal components. In addition, the conventional dying technology could not be applied to the e-textile systems after addition of metals due to lack of surface chemical species which are bonded to dye molecules. In our recent paper, we reported that conventional cotton textiles were successfully changed to e-textiles by Pt coating by atomic layer deposition (ALD), and we have produced color coated e-textiles by depositing oxide multilayer thin films. In order for color coated electronic fibers to be used in real life, however, they must have physical and chemical stabilities, in addition, wide compatibility on various textiles. In this work, we fabricated color coated electronic fiber by depositing Al2O3/TiO2 on various electronic fibers to confirm not only the mechanical environment such as tensile, shrinkage and friction which can be exposed in daily life but also the chemical stability due to acid base exposure. By ensuring the mechanical and chemical stability of color coated electronic filaments, it is expected that electronic filaments can be applied to a wider range of applications in the near future.

View Supplemental Document (pdf)
AA-MoP-33 Zinc Tin Oxide Thin Films Grown by Atomic Layer Deposition for Charge-Trap Flash Memory
Jun Shik Kim, Eun Suk Hwang, Seungjun Lee, Younjin Jang, Seok Min Jeon, Cheol Seong Hwang (Seoul National University, Republic of Korea)

As the further scale down of the 2-D planar memory device is challenged by various technical limits including cell-to-cell interference, 3-D memory devices such as vertical-NAND (V-NAND) flash [1, 2, 3] have been proposed as the new effective way of memory density increase. Concerning the transistors in these V-NAND devices, however, the single crystal Si transistors in 2D planar devices was replaced with poorly crystallized poly-Si. However, the low carrier mobility of this poly-Si channel imposes a fundamental limitation to further increase in the integration density. In this regard, the amorphous oxide semiconductor (AOS) grown by atomic layer deposition (ALD) has been proposed as one of the most promising alternative techniques owing to its high mobility, excellent step coverage and low-temperature growth characteristics. [4, 5]

In this work, therefore, n-type zinc tin oxide (ZnSnO) thin films were prepared via ALD with the various film thickness and the atomic ratio of zinc to tin to achieve higher carrier mobility and stable transistor operation. ZnSnO ALD was performed by the alternation of ZnO (with DEZn) and SnO2 (with TDMASn) ALD cycles in proper ratio utilizing O3 as the oxygen source. The electrical performance of ZnSnO films was estimated by fabricating bottom-gated thin film transistors where the heavily-doped p-Si was the gate, and thermally growth 100nm-thick SiO2 was the gate oxide, as shown below. Finally, the charge-trap memory devices were fabricated using ALD ZnSnO film as the channel layer, and low-pressure chemical vapor deposited Si3N4 as the charge trap layer. The program/erase characteristics of the fabricated devices were analyzed.

References

[1] J. Y. Seok et al., Adv. Funct. Mater., 24, 5316–5339, (2014)

[2] T. Kawamura, et al., Proc. IEDM, pp. 1-4 (2008)

[3] S. H. Rha, et al., Appl. Phys. Lett., 18, 103, 183503 (2013)

[4] C. Hwang et al., IEEE Electron Device Lett., 35 (3), 360 (2014) [5] H. Yin et al., Appl. Phys. Lett., 93, 172109 (2008)

AA-MoP-34 Protective Layer TiO2/Pt/C Catalyst for Excellent Durability deposited by Fluidizing Bed Reactor Atomic Layer Deposition
Woo-Jae Lee, Tae Hyun Kim, Se-Hun Kwon (Pusan National University, Republic of Korea)

Proton Exchange Membrane Fuel Cell (PEMFC) is one of the most promising power sources ranging from portable electronic devices to automobile industry because of its advantages such as low operating temperature, fast start-up and sustained operation at high current density. In PEMFC, the challenges for the commercialization are to resolve the high cost and low durability of Pt catalyst which currently is used. As common synthesis for Pt catalyst, Wet process such as electrodeposition, Electroless can make thresholds due to the long process term, pre-treatment and, un-uniform Pt size and distribution leading to decrease of Pt/C utilization. To resolve these problems, dry based-technique for Pt catalyst must be developed. Among dry based-methods, ALD (Atomic Layer Deposition) is the prominent method due to the excellent uniformity, accurate thickness control and island -shaped growth of noble metal at the initial stage. In addition, fluidizing bed reactor can be introduced to the atomic layer deposition for more excellent uniform Pt/C and mass production.

In this work, to improve the cost and durability, protective layer/Pt/C structure was deposited and optimized in situ process by using fluidizing bed reactor ALD. TiO2/Pt (30wt%) on carbon black powder was successfully deposited using TTIP, H2O for TiO­2 and MeCpPtMe3 precursor and O2 reactant for Pt by using the fluidizing bed reactor ALD. ALD cycle is changed from 0 cycle to 10cycle to optimize the thickness. Loading, particle size and distribution were investigated by TGA, STEM measurement. With increasing the TiO­2 ALD cycle on Pt/C, overall TiO2/Pt loading increased regularly and particle size have no big change due to the ultra TiO­­2 thin film. From TEM-EDS, TiO2 was selectively positioned around Pt, making the TiO2 shell/Pt core. After 1000 Cycle ADT(Accelerated degradation test), TiO2(10cycle)/Pt/C prepared by ALD shows good and stable durability.

AA-MoP-35 Atomic Layer Deposition of Si-doped HfO2 Thin Film by using HfCl4, SiCl4 and H2O for FeRAM Device Application
Se-Won Lee, Moo-Sung Kim (Versum Materials Korea, Republic of Korea); Matthew MacDonald, Xinjian Lei (Versum Materials, Inc.); Zhongwei Zhu, Alex Yoon (Lam Research Corp); Hyang Keun Yoo, Dong Ik Suh, Yong Soo Choi (SK Hynix Inc)

Recently, next-generation memory devices such as PRAM, ReRAM and FeRAM have been widely studied to replace conventional DRAM and NAND flash devices. Especially, FeRAM has high potential that it can achieve fast write/erase speed, compatible integration (3-D stack architecture) and low power consumption. There are a number of materials that demonstrate ferroelectric properties, among which silicon doped hafnium oxide (Si:HfO2) is one of promising ferroelectric materials because it operates at very thin thickness (about 5~10 nm) without losing its functional properties and has excellent compatibility [1].

In this study, we have investigated thermal ALD of Si:HfO2 using HfCl4 and SiCl4 precursors with H2O as an oxygen source at deposition temperatures 250oC and 300oC, and also studied ferroelectric characteristics of MFM FeRAM device structure with various Si doping concentrations (about 2, 4 and 6 mol % Si). To control mol % Si in the HfO2 films, we used a 3-step Si precursor pulsing method including sub-cycle and main-cycle such as [(HfO2 x m sub-cycle/ SiO2 x 1 sub-cycle /HfO2 x m sub-cycle) x n main(super)-cycle] (see the Fig.1 below). For example, (HfO2 x 9 cy /SiO2 x 1 cy /HfO2 x 9 cy) x 5 cy at 250oC resulted in ~4 mol% Si. SiO2 sub-cycle number was fixed at 1 cycle for all films. XPS was used to measure contents of Si, Hf and impurities of resulting Si:HfO2 films. No Cl and C impurities were found in the film, and O content was ~65 at%. FE properties were measured after rapid thermal process (RTP) at 600oC for 20 sec or 800oC for 1 sec.

With samples deposited at 300oC, good FE characteristics were achieved. Wake-up property remained after RTP at 600oC for 20 sec and it disappeared after RTP of 800oC for 1 sec conditions. Remnant polarization (Pr) value of 16 µC/cm2 and coercive electric field (Ec) of 1.1 MV/cm was achieved with the 2.5 mol % Si sample after 800oC annealing process. 600oC annealing temperature is not enough to activate 5.6 mol% Si sample and it showed anti-FE characteristics at 800oC annealing temperature. However, in the 250oC deposited samples, poor FE characteristics were obtained. With RTP condition of 600oC for 20 sec, all samples were not activated enough to show FE characteristics. Over annealing temperature of 800oC, 4.0 mol% Si samples showed FE properties, but also showed high leakage current (see Fig.2 below).

In summary, we have demonstrated that the 2.5 mol % Si in Si:HfO2 samples deposited using HfCl4, SiCl4, and H2O are the most effectual FE material, because the FE characteristics is superior to those of other samples.

REFERENCES

[1] G.D. Wilk, R.M. Wallace, and J.M. Anthony, J. Appl. Phys., vol. 89, 5243 (2001).

View Supplemental Document (pdf)
AA-MoP-36 Combining ALD with Pulse Current Electroplating of Gold to Deposit on the Wall of High Aspect Ratio Silicon Grating
Tae Eun Song, Hee Han (National NanoFab Center (NNFC), Republic of Korea); Soonyoung Jung, Soo-Hyun Kim (Yeungnam University, Republic of Korea); Chi Won Ahn (National NanoFab Center (NNFC), Republic of Korea)

Deposition on the wall of high aspect ratio silicon grating with gold is a frequent requirement in the fabrication of X-ray phase contrast imaging technique as well as micro-electronic components and other fabrication processes12. Utilizing Silicon grating etched by deep reactive ion etching have been fabricated in silicon wafers. Electroplating of gold in silicon grating with a high aspect ratio greater than 40 over a grating area of 10 cm * 10 cm require conductive supports which were created by uniformly depositing Ru on the silicon by Atomic Layer Deposition (ALD). Moreover pulse current electroplating led to uniformly deposit gold on the wall of silicon grating for such structures345.

References

[1] H. Miao, A. A. Gomella, K. J. Harmon, E. E. Bennett, N. Chedid, S. Znati, A. Panna, B. A. Foster, P. Bhandarkar and H. Wen, Sci. Rep., 5, 13581, (2015)

[2] S. Znati, N. Chedid, H. Miao, L. Chen, E. E. Bennett and H. Wen, J. Surf. Eng. Mater. Adv. Technol., 2015, 5, 207–213.

[3] P. a. Kohl, Mod. Electroplat. Fifth Ed., 115–130, (2011)

[4] C. H. Seah, S. Mridha and L. H. Chan, J. Mater. Process. Technol., 114, 233–239 (2001)

[5] C. J. Raub and a. Knödler, Gold Bull., 10, 38–44 (1977)

AA-MoP-37 Atomic Layer Deposition of Ru Metal Thin Film with Substrate-Dependent Growth Behavior on Ta2O5 Substrate
Cheol Hyun An (Seoul National University, Republic of Korea); Woongkyu Lee (Northwestern University); Sang Hyeon Kim, Dae Seon Kwon, Soon Hyung Cha, Seong Tak Cho, Cheol Seong Hwang (Seoul National University, Republic of Korea)

The growth of Ru noble metal has been studied for several purposes such as catalyst, an oxygen diffusion barrier layer or electrode materials due to its electrical properties and chemical stability. Especially, Ru metal is also nominated for an electrode of the capacitor in DRAM due to its high work function which could reduce the leakage current of the capacitor. For applying Ru electrode on capacitor under 20nm, atomic layer deposition (ALD) of Ru film is inevitable since its precise thickness controllability and high conformality. The ALD growth of Ru metal has been progressed using various Ru precursors, most of those are metal-organic (MO) precursor which have carbon containing ligands. Also, the ALD methods with MO precursors have difficulties on the nucleation of Ru metal on a substrate and show long incubation time which induces less conformal film surface.

In this study, the RuO4 and N2/H2 gas were used in ALD process of Ru metal thin film as a precursor and reactant gas, respectively. The RuO4 precursor, which has small molecular size and high volatility, is adaptable for depositing Ru metal on the narrow and complex structure. Moreover, the Ru film deposited by RuO4 precursor could have low carbon impurity since any carbon atom is contained in the precursor and oxygen atoms are only ligand atoms. The ALD growth of Ru film with RuO4 has been reported before, but it was examined mainly on Si substrate. However, the growth behavior of the Ru film on transition metal oxides needs to be examined for the DRAM capacitor application. Therefore, growth behavior of Ru film on the Ta2O5 substrate was confirmed in this study. The self-limited growth was observed with RuO4 precursor feeding time as conventional ALD method, while 2-step saturation behavior was observed versus N2/H2 reactant gas feeding time. Moreover, the growth per cycle of Ru films were higher than conventional ALD studies. Since this unideal growth behavior was not observed on inert Au substrate under the same deposition conditions, the growth mechanism was further examined by X-ray analysis and secondary ion mass spectroscopy. Apart from the general assumption of the inactive role of stable bottom Ta2O5 layer, it showed catalytic properties for precursor absorption and film formation. During N2/H2 reactant gas exposure, the Ta2O5 film was reduced to Ta metal phase which migrated towards the growing Ru surface. The catalytic activity of Ta atoms on the chemical adsorption of multiple layer of RuO4 molecules was considered to be the plausible mechanism of the unideal growth behavior. Nonetheless, the abnormal growth did not influence the electrical properties and physical properties.
AA-MoP-38 Forming of Conformal Multilayer on Periodic Microstructures for Solar Selective Absorption
Makoto Shimizu, Hiroki Akutsu, Shinichiro Tsuda, Masafumi Kumano, Hiroo Yugami (Tohoku University, Japan)

Solar absorbers are a key component in solar thermal utilization systems such as concentrated solar power generation (CSP) and solar thermal chemical conversion systems. For using solar energy effectively, the enthalpy of sunlight should be utilized up to the maximum extent possible. The operating temperature of solar thermal systems such as CSP systems is increasing. Regarding high-temperature usage, to create microstructures on-top of metal surfaces is a promising technology.

Typically, these materials are able to possess spectrally selective absorption properties for high-temperature usage. However, this technique is typically not well-suited because deep microstructures should be required to attain high spectrally selective absorption properties. In this study, we investigated high spectrally selective absorption properties; i.e., broad and high-absorptance in a short wavelength range and low emittance in a long wavelength range, with shallow honeycomb array cylindrical-microcavities coated by metal-dielectric multi-layers.

Honeycomb array cylindrical microcavities were fabricated on tungsten substrate with interference lithography and multi-layers consisting of platinum nano-film sandwiched by Al2O3 layers were created for a uniform coating via atomic layer deposition. Due to high conformal multilayer on the cylindrical microcavity thanks to characteristics of ALD method, absorptance spectrum is well consistent with the simulated result. A solar absorptance value of 0.92 and a hemi-spherical total emittance value of 0.18 at 700oC was determined from the fabricated solar selective absorber. Additionally, thermal stability of up to 700oCwas confirmed in vacuum a pressure range of 1 Pa.

View Supplemental Document (pdf)
AA-MoP-39 Ti-doped ZnO Films Grown by Atomic Layer Deposition for Solar Cell Applications
Ji Hye Kim, Chae Woong Kim, Hyung Sang Park, Zeeshan Urrehman (ISAC Research Inc., Republic of Korea)

Transparent conductive oxides(TCOs) are widely used in various industry such as displays, solar cells, thin-film-transistors. Zinc oxide(ZnO) based semiconductors has been extensively studied due to their high optical transmission and electrical conductivity and lower material cost. Furthermore, for flexible optoelectronics in future, it is desired to prepare TCOs as low temperature as possible. Among various deposition techniques, doped ZnO thin films were deposited by atomic layer deposition (ALD) due to low temperature growth, excellent step coverage, good conformality.

In this work, we investigated the electrical, structural and optical properties of Ti doped ZnO thin films prepared by thermal ALD and plasma enhanced ALD.

AA-MoP-40 Crystal Structure and Electrical Properties Modulation of Al-doped HfZrO2 Thin Films by ALD
Seung-Won Lee, Ji-Hoon Ahn, Jeong-Hun Choi, Cheol-Min Hyeon (Korea Maritime and Ocean University, Republic of Korea); Minho Ahn, Sanghun Jeon (Korea University, Republic of Korea)

As the size of semiconductor device is scaled down, electronic components such as gate oxide, DRAM capacitor are more important for high-k materials. Among the various high-k materials, the oxide thin films based on Zr and Hf can be realized by deposition conditions or by phase stabilizer doping such as monoclinic, tetragonal, cubic. Especially, when having tetragonal phase, it is known to have high dielectric constant about 40. In addition, it has relatively wide bandgap and it is highly compatible with Si integration technology. So, it is applied to high-k device. Recently, HfZrO2 thin films have ferroelectric and anti-ferroelectric properties by Zr content. So, many studies are underway to utilize them for ferroelectric devices. On the other hand, the study on the electrical characteristics of phase transition through thin film doping based on ZrO2 and HfO2 has been done. However, the study on change of dielectric constant by doping binary oxide film based HfZrO2 have been deficient compared to applicability.

Therefore, we investigated the sturcture and electric properties of HfZrO2 thin films doped by controlling Al contents. In the process of depositing HfZrO2 thin film using PEALD, the Al dopant was doped by two methods : supercycle and sequential cycle. Then, the characteristics of Al doped HfZrO2 films were observed for ellipsometer, XRD, XPS and semiconductor parameter analyzer.

AA-MoP-41 In situ Capping of VOx using PEALD of V2O5 and/or VN
Rémy Gassilloud, Mathias Fraccaroli, Christelle Charpin (CEA-Leti, France); Christophe Vallée (CNRS-LTM, France)

Resistive memories composed of Ta2O5/TaOX dielectrics sandwiched between a TaN cathode and noble-based anode have good potential for use as nonvolatile switch in large-scale integrated circuits [1]. Another group 5 element that shows a pretty good compatibility with standard Si technology and more sustainable than Ta, is Vanadium. Thermal ALD deposition of VO2 has already been achieved using Tetrakis(EthylMethyl)Amino Vanadium (Air Liquid TEMAV) [2][3]. Many reports discuss on the growth of this material and the required post deposition anneal under O2 ambient to reach the well-known MIT VO2(M) monoclinic phases. The required partial pressure of oxygen must be so precise that it restrains its integration in devices [4]. Another solution is to keep VO2 in its as-deposited amorphous state and use it as oxygen reservoir in a ReRAM based configuration VO2/V2O5, with V2O5 as switchable insulator, i.e. through filament vacancies formation. In the meantime, Vanadium nitride has also been developed using the same TEMAV molecule and NH3 plasma. Resistivity as low as 200 µOhm.cm were obtained for as-deposited VN which is in the range of resistivity values obtained with TaN or even TiN. In this work, we will review and present our results on the ALD deposition of VO2 amorphous and monoclinicphase using TEMAV and water on 300mm Si. We will extend the work on V2O5 deposition using O2 plasma and show results on in-situ bilayer deposition. We will finally go further on the VN electrode deposition with VO2/V2O5/VN tri-stack fully in-situ deposited.

[1] Z. Wei et al, Circuits and Systems (ISCAS), IEEE International 2014, p 842

[2] G. Rampelberg et al, App. Phys. Lett. 98, 162902 (2011)

[3] T. Blanquart et al, RCS Advances, 2013, 3, 1179

[4] V. Wheeler, ALD2015

[5] G. Rampelberg et al, Appl. Phys. Lett. 102, 111910 (2013)

AA-MoP-42 PE-ALD for Deposition of TiN as a Refractory Plasmonic Material
Gül Dogan, Umut Tunca Sanli (Max Planck Institute for Intelligent Systems, Germany); Helmut Karl (University of Augsburg, Germany); Gisela Schütz, Kahraman Keskinbora (Max Planck Institute for Intelligent Systems, Germany)

Titanium nitride (TiN) was recently proposed as an alternative to gold for high-temperature plasmonic applications such as concentrated solar thermal power generation. The refractory plasmonics material TiN was shown to be more stable than Au after intense laser irradiation.1 Conformality aspect of atomic layer deposition (ALD) is recently being exploited for fabricating high-quality dielectric nanostructures for applications in optics.2,3 Therefore, ALD processing of plasmonic materials with a low electrical resistivity and high crystalline quality is a very interesting prospect.

In this work, we have studied the influence of growth conditions on the physical properties of TiN films by analytical techniques including in-situ and ex-situ spectroscopic ellipsometry (SE), X-ray reflectivity (XRR) and diffraction (XRD), X-ray photoelectron emission spectroscopy (XPS), and four-point probe (FPP) measurements. The TiN thin films were deposited on single crystal silicon substrates at 350°C by plasma-enhanced atomic layer deposition (PE-ALD) using TiCl4 and N2-H2 plasma as the precursor and the co-reactant, respectively. In-situ SE confirmed ALD type growth and the deposition rate was found to vary between 0.024 to 0.032 nm/cycle depending on the plasma ratio N2-H2 and plasma exposure time. The XRD results exhibit a strong (002) texture in the deposited films. The electrical resistivity of the films can be tuned between 1200 to 290 µohm.cm by varying the process parameters. Low resistivity correlates with low chlorine content and large TiN grain size, obtained for films deposited with a plasma-ratio of 7.5 and plasma exposure time of 4 s. We will further discuss how these results can be utilized as a precursor for integration of TiN into nanostructured materials for optical applications.

1 Li, W. et al. Refractory Plasmonics with Titanium Nitride: Broadband Metamaterial Absorber. Adv. Mater. (Weinheim, Ger.)26, 7959-7965, (2014).

2 Khorasaninejad, M. et al. Metalenses at visible wavelengths: Diffraction-limited focusing and subwavelength resolution imaging. Science352, 1190-1194, (2016).

3 Devlin, R. C., Khorasaninejad, M., Chen, W. T., Oh, J. & Capasso, F. Broadband high-efficiency dielectric metasurfaces for the visible spectrum. Proceedings of the National Academy of Sciences113, 10473-10478, (2016).

AA-MoP-43 Physical Characaterization of Transition Metal Dichalcogenide MoS2Thin Films Synthesized by Atomic Layer Deposition
Hongguo Zhang, Min Zeng, Christopher McCleese, Charles Kolodziej, Pengtao Lin, Kai Zhang, Clemens Burda, Qiliang Li, Helmut Baumgart (Old Dominion University)

Transition metal dichalcogenides ( TMDC s), such as MoS2, WSe2 and MoSe2, exhibit layered structures in which are in-plane covalent bonds are strong and interplane van der Waals forces are relatively weak. This bond structure demonstrates extraordinary in plane thermal, mechanical, electrical, optical properties. The monolayers exfoliated from bulk counterparts, commonly called as two-dimensional (2D) materials, are paid significant attentions due to their unique and tunable material properties: (1) indirect to direct band gap transition when the bulk material is exfoliated to a single layer, making them become ideal quantum well. (2) higher carrier mobility with 100cm2/Vs. (3) enhanced spin orbit coupling used in spin based electronic devices. These unique properties of 2D TMDCs are promising to be applied in sensors, filed effect transistors, photodetectors, piezoelectric devices and solar cells.

To date, many techniques have been developed for the synthesis of mono and few-layer TMDC films on different substrates, such as pulsed laser deposition (PLD), chemical vapor deposition (CVD). However, very little is known about atomic layer deposition (ALD) synthesis of MoS2 films there are very only few references. ALD technique exhibits self-limiting atomic layer reactions in each introduced ALD cycle. It can accurately control film layer thickness stoichiometry, composition, uniformity, and sharp interface. Furthermore, ALD also can be used to deposit conformal film onto very complex structures.

Here we report on synthesis of MoS2 films on Silicon substrates by ALD system. Molybdenum hexacarbonyl (Mo(CO)6) and dimethyldisulfide (CH3SSCH3, DMDS) are employed as the chemical ALD precursors for Molybdenum and Sulfur, respectively. Generally, 20 sccm N2 was used as a carrier gas for the precursors. The growth temperature was set at 200, 230 and 250oC. The crystallinity of as-deposited MoS2 thin film could be improved by post-annealing under saturated sulfur vapor. The Raman spectroscopy analysis show the MoS2 thin films demonstrate the characteristic of E12g and A1g Raman modes. The decay processes and valence band splitting are in accord with the known energy scheme for MoS2 thin films. A band gap of about 1.8 eV of MoS2 thin film is in the range of 1.1 eV (bulk MoS2) to 1.9 eV (monolayer MoS2). The band gap of ALD MoS2 thin films is much closer to the monolayer limit than to the bulk limit.

AA-MoP-44 Synthesis of ALD Iridium Thin Films on 3-D Fabricated Monel K-500 Steel Samples
Peter Oelslager, Helmut Baumgart (Old Dominion University)

Iridium (Ir) thin films have been extensively investigated for a variety of applications. Ir is a potential optical material for Fresnel zone X-ray microchannel plates, inductive grid filters, and potential electrode materials. It is also a noble metal making it well suited to corrosion resistance in harsh environments

Atomic Layer Deposition (ALD) is considered a modification of chemical vapor deposition (CVD), where two different chemical precursors are pulsed separately into a reactor chamber one at a time, requiring two self-limiting surface chemical reactions to occur on the substrate. It is possible for ALD technology to synthesize high quality materials over large areas at low temperature. ALD technology has numerous advantages over conventional thin film deposition techniques. ALD can precisely control film layer thickness, stoichiometry, composition, and uniformity, and produce sharp interfaces in nanolaminate structures. ALD can also be used to deposit conformal films onto very complex surface morphology structures

In this work, iridium metal films were deposited on silicon (Si) and Monel K-500 alloy substrates by ALD using Iridium (III) acetylacetonate known as Ir(acac) or [CH3COCH=C(O-)CH3]3Ir as precursor, and industrial grade O2 as oxidizing reactant. The solid Ir precursor was heated to 150°C, and the substrate temperature was varied to establish an optimum growth window. The lowest temperature in which Ir growth was is 200°C, and the thin film growth rate increased as a function of temperature up to 250°C. Higher temperatures have not yet been attempted due to equipment constraints. The Monel K-500 steel alloy flat discs were cut from commercially available Monel K-500 bars of ~1 inch diameter.

In this study we report on the successful synthesis of Ir metal films on both Si and Monel K-500 substrates by ALD system with Ir(acac)3 and Oxygen between 200 and 250oC. Detailed characterization has been performed using X-ray diffraction (XRD), atomic force microscopy (AFM), and field emission scanning electron microscopy (FE-SEM) of samples which have been notched with focused ion beam (FIB) techniques for cross-sectional film thickness measurements. The XRD and FE-SEM results reveal that the ALD Iridium thin film are polycrystalline at deposition temperatures based on Volmer–Weber island growth mode. Heterogeneous nucleation on Si and Monel K-500 alloy surfaces and yielded excellent surface coverage and does not require special surface treatments.

View Supplemental Document (pdf)
AA-MoP-45 Precursor Dependent Optical Properties of ALD TiO2
Ritwik Bhatia (Veeco-CNT)

There has been increased interest in the use of atomic layer deposition for optical applications due to the ability of ALD to uniformly coat curved substrates and ease of scale up of ALD to large batches and/or large substrates. Many optical designs require alternating layers materials with low and high refractive index. TiO2 is a material of interest in optical coatings due to its high refractive index (~ 2.4) and transparency across most of the visible spectrum (band gap ~ 3eV). However, TiO2 can be absorbing in the visible region (sub-band gap) absorption, typically attributed to oxygen vacancies.

In this work we discuss the optical properties of TiO2 and how they depend on deposition parameters like precursor type, deposition temperature and precursor exposure. We have found that TiO2 deposited with tetrakis(dimethylamino)titanium (TDMATi) and water is absorbing across the visible spectrum, while TiO2 from titanium chloride (TiCl4) and water is transparent across the visible region - only showing the expected band edge absorption ~ 400nm. Further, we investigate the processing parameters required to make TiO2 from TDMATi less absorbing.

AA-MoP-46 Accurate Modeling of the Gate Leakage Currents in Metal-Insulator-Semiconductor (MIS) Devices using Ultra-thin High-k Oxides
Hector Uribe-Vargas, Joel Molina-Reyes (National Institute of Astrophysics, Optics and Electronics)

Ultra-thin high-k oxides are widely used in advanced CMOS technology in order to continue scalability and to increase performance. Nevertheless, as the devices reach the nanometer regime, accurate predictions ensuring long-term operation of these devices is now more complicated due to several physical and electronic considerations: 1) precise atomic control of the high-k material in the ultra-thin regime (thickness, stoichiometry, dielectric constant, etc), 2) excessively large gate leakage current levels, 3) appearance of several conduction mechanisms able to degrade the performance and reliability of the devices, 4) interfacial defects at the high-k/silicon interface and 5) low thermodynamic stability of the high-k materials after being exposed to the inherent thermal treatments during several Front-End-Of-Line (FEOL) or Back-End-Of-Line (BEOL) stages.

In order to contribute to better predictions of electrical performance and reliability characteristics, this work carefully reviews the conduction mechanisms in Metal-Insulator-Semiconductor (MIS) devices fabricated using ultra-thin Al2O3, and TiO2 (less than 10 nm in thickness for each dielectric) deposited by atomic-layer deposition (ALD). This deposition technique has excellent conformality, outstanding control (to atomic level) on the thickness and stoichiometry, interface quality with the semiconductor substrate, and low deposition temperature (T ≤ 250°C) for these metal oxides, assuring high reproducibility for each device.

After fabrication, MIS devices were electrically characterized using standard Ig-Vg, C-V, Ig-Vg-temperature measurement conditions in order to determine the precise carrier conduction mechanism for each dielectric under different conditions of substrate passivation (by using a chemical oxide SiOx) and post-metallization annealing (PMA). The experimental measurements were compared with semi-empirical tunneling models like direct tunneling (DT), Ohmic conduction (OC), Poole-Frenkel emission (PF), Trap-Assisted Tunneling (TAT), Schottky Emission (SE) and Fowler-Nordheim tunneling (FN). Physical parameters such as effective mass, barrier height (SE and FN), and trap energy level were extracted and then compared with simulations using MATLAB and SILVACO software. All values were compared with those found in literature, having excellent agreement. The accurate identification of self-consistent conduction models for the gate leakage current in MIS devices allows for better performance/reliability predictions before degradation or failure of these devices and this is possible due to the high quality of the ultra-thin high-dielectric constant materials enabled by thermal ALD.

View Supplemental Document (pdf)
AA-MoP-47 Optimization of Microwave Generated Surfatron for Wafer-scale Plasma Enhanced ALD System
Ji Hye Kim (ISAC Research Inc., Republic of Korea); Ales Poruba (SVCS Process Innovation s.r.o., Czech Republic); Martin Cada (Institute of Physics of the Czech Academy of Sciences, Czech Republic); Hyung Sang Park (ISAC Research Inc., Republic of Korea); Jarek Dolak (SVCS Process Innovation s.r.o., Czech Republic)

With the continued down scaling of devices and structure changed to 3-dimensional, new ALD processes are in great demand. Microwave surfatron plasma is considered new plasma source because it enables very low-temperature deposition and good film quality due to its low electron temperature and higher plasma density. For adopting surfatron plasma source to new ALD system, it is essential to understand the physical properties of generated plasma with the varying gas atmosphere. Also we are requested to achieve acceptable homogeneity on wafer-level area. Thus, in this work, we investigated plasma parameters with various gas, pressure, flow and various distances from the plasma outlet with optimized design of plasma nozzle. Furthermore, we will present the preliminary results of TiOx layers achieved with this tool.

AA-MoP-48 Grow of GaN Thin Films over a Si/Al2O3 Stack by Thermal and Plasma Enhanced Atomic Layer Deposition as MOS Structure
Joaquin Alvarado (University of Puebla, Mexico); Melissa Chavez (CINVESTAV-IPN, Mexico); Denisse Cortes (University of Puebla, Mexico); Salvador Gallardo (CINVESTAV-IPN, Mexico); Lino Martinez, Salvador Alcantara (University of Puebla, Mexico)

Atomic layer deposition (ALD) is a promising technique because highly uniform films can be obtained and allows an excellent growth control [1,2]. Oxides (eg. Al2O3) and III nitrides (InN, AlN and GaN) can be obtained with ALD technique . These features make ALD technique a candidate for manufacture high-electron-mobility-transistors (MIS- HEMTs) and metal-oxide-semiconductor high-electron- mobility-transistors (MOS-HEMTs) [3]. Metal-insulator-semiconductor (MIS) structure using Al2O3 and GaN as a dielectric and semiconductor respectively obtained by Atomic layer deposition has received much attention because the Al2O3/GaN structure provides low leakage current, whereas GaN layer offers higher mobility than Metal Oxides layers.

Experimental

GaN and Al2O3/ GaN layers were carried out at 400°C using both Thermal and Plasma Enhanced Atomic Layer Deposition (PEALD). First, 50 cycles of Al2O3 were deposited at 150°C, thermal ALD Al2O3 cycle consist of (a) pulse of Trimethyl Aluminium (TMA), (2) Ar purge, (3) H2O pulse and (4) Ar purge. Furthermore, 1000 cycles of GaN were deposited with PEALD, where each cycle consist of (1) pulse of Trimethylgalium (TMG), (2) Ar purge, (3) N2 plasma and (4) Ar purge. Base pressure was 150 mTorr. Two structures were obtained Si/GaN and Si/Al2O3/GaN and Ohmic contacts of Ti/Al/Ti/Al/Ti/Au (50/30/50/30/60) metals were deposited through evaporation.

Results.

Fig. 1 shows the XRD pattern of GaN and Al2O3/GaN films, the peak located at 34.5° (002) confirms the hexagonal phase of Gallium Nitride [4], whereas no peaks of Al2O3 are present, which indicates that Al2O3 is amorphous.

Fig. 2 shows the results of X-ray reflectivity (XRR) for (a) Si/GaN (b) si/AL2O3/ GaN, XRR data indicate the thickness of GaN and GaN/Al2O3 layers are 40 nm and 60 nm respectively. The inset figure shows the critical angle (ca), where the precise position was determined by the minimum of the first derivative. Also the mass density obtained was 6.04 g cm-3. Atomic Force Microscopy, Scanning Electron Microscopy as well as Transmittance and Absorbance characteristics of these films grown at different temperatures will be included.

On the other hand, Fig. 3 shows the C-V and G-V characteristics of Si/Al2O3/GaN stack at three different frequencies; thanks to this figure it will be possible to evaluate the insulator-semiconductor interface.

References

[1] O. Kim, et.al., J. Vac. Sci. Technol. A 27, 2009

[2] C. Ozgit, et.al., J. Vac. Sci. Technol. A 30(1), (2012).

[3] Ki-Won Kim, et.al., Microelectronic Engineering, 88, (2011).

[4]S.N. Waheeda, et.al., Applied Surface Science, 317, 2014, p1010-1014.

View Supplemental Document (pdf)
AA-MoP-49 Reduction of Hysteresis in p-Type Atomic Layer Deposited SnO Thin Film Transistors by Adopting Interfacial Layers
Younjin Jang, Jun Shik Kim, Eun Suk Hwang, Seungjun Lee, Seok Min Jeon (Seoul National University, Republic of Korea); Jeong Hwan Han (Seoul National University of Science and Technology, Republic of Korea); Cheol Seong Hwang (Seoul National University, Republic of Korea)

Oxide thin film transistors (TFTs) have made impressive progress using n-type oxides such as a-IGZO, ZnO, and SnO. However, realizing the complementary metal oxide semiconductor circuit using all oxide TFTs was retarded by lack of high-performance p-type oxide semiconductors. Recently, tin monoxide (SnO) was suggested as a promising p-type material for high hole mobility due to its valence band structure [1]. To date, the SnO thin films were mainly deposited by magnetron sputtering method, but a recent study showed a possibility of fabricating a p-type SnO thin film using atomic layer deposition (ALD) method. Although it showed superior TFT performances compared to the previous results, electrical properties of ALD SnO TFTs have not been sufficiently analyzed yet [2]. In this work, the interfacial layer effects on the hysteresis of p-type SnO TFT were investigated.

p-Type SnO thin films were grown by the atomic layer deposition (ALD) using Sn(dmamp)2 (bis(1-dimethylamino-2-methyl-2- propoxy) tin(II)) and H2O as the Sn and O sources at 200 °C on SiO2/p++-Si substrate. To investigate the interfacial effects on hysteresis behaviors, and the Al2O3 interfacial layer (IL) grown by ALD (thickness from 2.5 to 5 nm) was inserted between the SnO active layer and the SiO2 gate oxide layer. Various heat treatments on ILs by rapid thermal annealing (RTA) were carried out.

By optimizing the gas atmosphere of RTA process, a significant decrease in the hysteresis was observed (from 4 V at no IL to 2 V at 2.5 nm-thick IL). It seems that oxygen treated IL reduced the defects close to the channel, which contributed to the reduction of hysteresis. Also, it was confirmed that the hysteresis characteristics were further improved by increasing IL thickness from 2.5 nm to 5 nm. Possible origin of hysteresis would be related to Si diffusion to the SnO layer during the deposition process, but more detailed investigation of hysteresis mechanism is necessary.

References [1] Caraveo-Frescas et al., ACS Nano, 7, 5160 (2013), [2] S. H. Kim et al., J. Mater. Chem. C, 5, 3139 (2017)

AA-MoP-50 The Impact of ALD ZrO2 Gate Insulators on Indium Tin Zinc Oxide (ITZO) Thin Film Transistor Applications
Wan-Ho Choi, Hyun-Jun Jung, Jiazhen Sheng, Jung-Hun Lee, Jin-Seong Park (Hanyang University, Republic of Korea)

High-k dielectric materials have been extensively studied in the memory semiconductor and display industries. Especially in the field of displays, high-k materials should be studied for low-voltage operation. Especially, the solution process has been mainly studied for the high-k material for the low voltage drive transistor. However, due to the leakage current of the solution process and limitations of the device behavior, it is necessary to study the high-k dielectric layer using atomic layer deposition (ALD) to obtain excellent insulating layer characteristics. In this study, ZrO2 films were deposited at various temperatures by ALD. The MIM and MIS structures were fabricated using the deposited ZrO2 thin films to investigate the dielectric properties of the films. In order to measure the performance of the device, a thin film transistor(TFT) of back-channel-etching (BCE) structure using In-Sn-Zn-O (ITZO), which is a high mobility oxide semiconductor, was fabricated. The ZrO2 thin films used in each structure were deposited at 100 nm and their thicknesses were measured by spectroscopic-ellipsometry (SE). Leakage current density of 200,250 and 300oC is 7.5´10-8, 2.9´10-8 and 3.6´10-8 and k value is 17.4, 21,4 and 23.0, respectively . TFT with ZrO2 showed mobility of 23.4, 7.0, and 4.16 at 200, 250, and 300 oC and hysteresis of 0.13, 0.02, and 0.04 with subthreshold swing of 0.19, 0.15, and 0.16, respectively. And the constant current stress (CCS) test was conducted to confirm the suitability as a driving transistor. The higher the deposition temperature of ZrO2, the better the reliability. XRD analysis was carried out to examine the crystallinity of the ZrO2 thin film. XPS analysis of the ZrO2 surface was also performed to study the origin of the performance difference of the device depending on the deposition temperature. Comparing the surface composition through XPS, the ZrO2 thin film deposited at 200°C showed more than two times higher composition of Carbon than the other temperature set. Due to this impurity, defect-assist leakage mode is dominent and that increase the leakage current density. The XPS O1s spectra show that the O-deficient peak associated with Vo is the highest in the thin film deposited at 200°C, indicating that the mobility of the device is high and the reliability is poor. We confirmed the suitability of ZrO2 as a dielectric layer of TFT deposited at over 250°C.

View Supplemental Document (pdf)
AA-MoP-51 Templated Carbon Nanotube Growth from Reduced NiO Atomic Layer Deposition
Erin Cleveland, Keith Perkins, Paul Campbell, Adam Friedman (U.S. Naval Research Laboratory)

Carbon nanotubes (CNTs) have drawn great attention due to their exceptional thermal, electrical, and mechanical properties. Owing to their unique mechanical properties, CNTs are promising as reinforcement for nanocomposite materials. CNTs have been widely studied using a variety of different growth techniques, including catalytic CVD. In CVD, metal catalytic nanoparticles deposited on substrates from solution or spontaneously formed through nucleation of films formed by physical vapor deposition (PVD) techniques such as sputtering or evaporation are exposed to a gaseous carbon precursor at elevated temperatures. The catalytic particles decompose the precursor, giving rise to surface C and H atoms, with subsequent growth of CNTs from the particle surface.

In general, the size of the catalyst particle rules the diameter and type of carbon nanotube. Large catalyst particles typically result in multi-walled CNTs, which may be relevant to applications benefiting from their mechanical properties. However, a key requirement for growing single walled CNTs is that the catalyst particles be extremely small, typically only a few nanometers in diameter. Uniform and reproducible deposition of such small particles requires careful process control. More importantly, due to PVDs’ line-of-sight constraint, these techniques struggle to conformally deposit material on 3D architectures.

A potential solution to these challenges is the use of atomic layer deposition (ALD) for catalyst preparation. ALD uses self-limiting chemistries to deposit thin films in a layer-by-layer fashion with atomic level thickness control and unprecedented uniformity and conformality. A high temperature H2 anneal step that is part of the CNT synthesis process can subsequently be used to reduce a metal-oxide film into a catalytic metal. In contrast to physical deposition techniques, ALD is capable of coating complex 3D structures with atomic level precision.

In this paper we investigated the use of NiO ALD reduced to metallic Ni for the catalytic growth of CNTs within the confines of a high aspect ratio nanoporous template. Thin films of NiO were deposited using Ni(amd) and H2O and reduced in a post-deposition low temperature H2 anneal. After reduction, films became very granular with increased surface roughness of ~1.6 nm RMS. CNTs grown with ethylene within 5 µm dia. pores exhibited small diameters, well below the pore size. Since grain size of the catalytic metal has a direct effect on the diameter and type of the subsequent CNT growth, different reduction methods were investigated, such as reduction temperature, time and the use of an in-situ H2 plasma during the ALD deposition. View Supplemental Document (pdf)
AA-MoP-53 Atomic Layer Deposition of Titanium Oxide using Heteroleptic Titanium Precursors with a Linked Ligand
Seongyoon Kim, Jaemin Kim, Jiyeon Gu, Hye-Lee Kim, Hyejin Jung (Sejong University, Republic of Korea); Mira Park, Jung Woo Park (Hansol Chemical, Republic of Korea); Won-Jun Lee (Sejong University, Republic of Korea)

Titanium oxide and Ti-based perovskites have been continuously studied for application to DRAM capacitors as high-permittivity materials. ALD technology has replaced PVD and CVD to provide excellent step coverage, accurate film thickness control, and highstoichiometry film quality. The most popular titanium precursors are tetrakis(dimethylamino)titanium (TDMAT) and titanium tetraisopropoxide (TTIP), and the ALD TiO2 films using those precursors showed narrow ALD temperature window with the maximum ALD temperature of approximately 200°C. In general, higher deposition temperature improves the physical and electrical characteristics of the dielectric film, such as film density, stoichiometry, and purity. However, the ALD precursors decompose at higher temperatures, resulting in poor step coverage and high impurity concentration. Therefore, we need titanium precursors with excellent thermal stability together with high reactivity and sufficient volatility. It was reported that thermal stability of TDMAT is improved by replacing an alkylamine group with a cyclopentadienyl to form tris(dimethylamino)cyclopentadienyl titanium [1]. In the present study, we investigated the ALD of titanium oxide using heteroleptic titanium precursors with a linked ligand. A heteroleptic precursor with alkylamino groups was compared with alkoxy groups. Saturation dose and the ALD temperature window were determined by measuring growth rate with different precursor feeding times, oxidizing agent feeding times, and the process temperatures. The reaction mechanism was studied by using in-situ Fourier transform infrared spectroscopy and quadrupole mass spectroscopy. The physical and electrical properties of the deposited films were also characterized.

[1]ECS Transactions, 25 (4) 217 (2009)

AA-MoP-54 Ex-Situ Grown Low-Temperature SiNx on GaN with Crystalline Interfacial Layer using Hollow Cathode PEALD
Xin Meng, Jaebeom Lee, Arul Vigneswar Ravichandran, Young-Chul Byun, Jae-Gil Lee, Antonio Lucero, Si Joon Kim (The University of Texas at Dallas); Min-Woo Ha (Myongji University, Republic of Korea); Chadwin Young (The University of Texas at Dallas); Byung Keun Hwang (Dow Chemicals); Jiyoung Kim (The University of Texas at Dallas)

In this work, we report ex-situ grown low-temperature SiNx on GaN with ~1.5 nm crystalline interfacial layer (CIL). The 2.8-2.9 Å d-spacing indicates that the CIL is β-phase Si3N4. The SiNx was grown by hollow cathode plasma-enhanced atomic layer deposition (PEALD) [1]. Tris(dimethylamino)silane (3DMAS) and a remote N2 plasma were used as the silicon precursor and the nitrogen co-reactant, respectively. Though the growth temperature was as low as 300°C, we obtained excellent film properties comparable to those of high-quality thermal SiNx films grown at a temperature above 700°C. Owing to the effective passivation of dangling bonds and nitrogen vacancies by the crystalline interfacial layer, GaN MIS-HEMTs with PEALD SiNx gate dielectric shows excellent threshold voltage (Vth) stability (ΔVth<0.3 V) under positive/negative bias stress.

We also compared with other devices using different ALD-grown gate insulators (15 nm), including (a) SiNx using pentachlorodisilane (PCDS) and N2/NH3 plasma, (b) SiNx using hexachlorodisilane (HCDS) and N2/NH3 plasma, and (c) Al2O3 using trimethylaluminum (TMA) and H2O. Of all the devices, PCDS-SiNx/GaN MISHEMTs exhibited both a highest on/off ratio (~1010) and a negligible Vth instability (ΔVth<0.1 V) under a large gate bias stress of 7 V. The extraordinary results suggest an excellent interface of the gate dielectric stacks, as well as negligible bulk traps inside the PEALD SiNx layer.

The authors would like to acknowledge Dr. Scott Butcher (Meaglow Ltd.) for the technical discussions on the use of hollow cathode plasma source.

[1] Meng, X.; Byun, Y.-C.; Kim, H. S.; Lee, J. S.; Lucero, A. T.; Cheng, L.; Kim, J. Atomic Layer Deposition of Silicon Nitride Thin Films: A Review of Recent Progress, Challenges, and Outlooks. Materials 2016,9 (12), 1007.

View Supplemental Document (pdf)
AA-MoP-55 Composition Adjustment of Zn(O, S) Buffer Layer on CIGS Solar Cell by Atomic Layer Deposition
Yu-Hsuan Yu, Narmatha Koothan (Instrument Technology Research Center, Republic of China); Wei-Lun Xu (National Tsing Hua University, Republic of China); Chi-Chung Kei, Ming-Hua Shiao (Instrument Technology Research Center, Republic of China); Chih-Huang Lai (National Tsing Hua University, Republic of China)

Depositing Zn(O, S) thin film as the Cd-free buffer layer is an important topic in CIGS solar cells since it offer the potential enhancements for either low cost and good for environment. The band gap and the optical properties, which is crucial to the buffer layer, is controlled by the element composition of Zn(O, S) thin film. In this study, In this study, we deposited ZnO and ZnS thin films by atomic layer deposition(ALD). We deposited ZnO thin film by using diethyl Zinc((C2H5)2Zn, DEZ) and H2O and the ZnS film was deposited by using DEZ and H2S. And the Zn(O, S) buffer layer was fabricated y alternately depositing ZnO and ZnS thin films. We can control the oxygen/sulfide composition in the Zn(O, S) thin film by changing the cycle ratio of ZnS and ZnO. The properties of Zn(O, S) films were measured by ellipsometry, SEM, XRD and XPS. We also deposited Zn(O, S) films with different O/S composition on CIGS absorber. The device performance were measured by current-voltage measurement.

AA-MoP-56 Effect on Low Plasma Damage by ALD Deposition of Silicon Heterojunction Solar Cell
Hyeongsik Park (Sungkyunkwan University (SKKU), Republic of Korea); Hongsik Kim (Incheon National University, Republic of Korea); Yongjun Kim (Sungkyunkwan University (SKKU), Republic of Korea); Kyungjin Lim (JUSUNG Engineering, Republic of Korea); Joondong Kim (Incheon National University, Republic of Korea); Junsin Yi (Sungkyunkwan University (SKKU), Republic of Korea)

We report regarding ZnO back reflector by atomic layer deposition (ALD) on a silicon heterojunction solar cell with the rear-emitter structure. ALD ZnO can reduce a plasma damage on silicon heterojunction after an ITO deposition and be leading to maintaining the open circuit voltage. The current density has different around 1 mA/cm2 led to the cell efficiency depending on a ZnO back reflector. We achieved the efficiency of 22.4% having a ZnO back reflector without a change of open circuit voltage (VOC: 723 mV) and fill factor (FF: 76.8%) as compared the reference specimen (VOC: 723 mV, FF: 76.4%).

AA-MoP-57 A Study on the Mechanical and Electrical Properties of Atomic and Molecular Layer Deposited ZnO – Zincone Alloy Thin Films with change of Molecular Backbone Structures.
Seung Hak Song, Sung Tae Hwang, Byoung-Ho Choi (Korea University, Republic of Korea)

The interposition of zincone thin films into ZnO thin films can improve the properties of structures. Zincones are fabricated by the reactions between zinc reactants and organic precursors. Zincones have a different backbone structures depending on organic precursors, for example, zincone thin films which deposited by the reactions between diethylzinc (DEZn) and ethylene glycol (EG) precursors have a –O-CH2-CH2-O- backbone structures. The reactions between DEZn and hydroquinone (HQ) fabricate zincone thin films that have aromatic backbone structures. The rigid and conjugated structure of HQ is expected to produce durable and electrically conductive materials. In this study, the differences of mechanical and electrical properties between ZnO – zincone (EG) and ZnO-zincone (HQ) alloy thin films were measured. The critical tensile strain and elastic modulus of the thin films were measured using micro tensile tests. Electrical conductivity and sheet resistances were also measured. The structure and growth rates of thin films were investigated using x-ray diffraction and ion mass spectrometry methods.

AA-MoP-58 ALD and Nanocellulose Based Sensors for Ultra-low Power, Room Temperature Ozone Gas Monitoring for Respiratory Ailments and Wellness Management
Akhilesh Tanneeru, Tashana Flewwellin, Ashlyn Young, Michael Daniele, Veena Misra, Bongmook Lee (North Carolina State University)

Ultra low-powered gas sensors for environment and breath monitoring, can help us tackle health conditions like asthma, emphysema, chronic bronchitis by monitoring for permissible levels of gases like ozone in the atmosphere. The sensitivity of these sensors should be in the 50-100ppb concentration range to detect ozone in the atmosphere so as to avoid exacerbating these chronic conditions. Traditional sensing methods of high temperature heating of the substrate (2000C-4500C), consume high-power and are therefore not compatible with wearable technology for long-term gas monitoring. We have demonstrated highly sensitive, selective sensors with low power consumption and room-temperature operation, by depositing nanoscale thick metal oxide layers ALD technique on Si/SiO2 substrate. Nanocellulose is a material has several advantages such as bio-compatibility, high mechanical ruggedness, high surface area, thermal stability and flexibility. It also has a conformal adherence to epidermal surfaces without adhesives via Vanderwaals forces and is less susceptible to degradation due to mechanical stress. In this work we report ultra-low power room temperature sensing of ozone gas, by atomic layer deposited (ALD) tin oxide sensing layer on a nanocellulose substrate. The sensor fabrication started with a nanocellulose substrate produced by oven drying cultured bacteria over glass slides. A 6nm sensing layer of SnO2 was deposited by atomic layer deposition with Tetrakis (dimethylamino tin (IV) – Sn[N(CH3)2]4) precursor at 200oC, with a 20nm ALD Al2O3 interlayer to ensure electrical isolation with substrate. Titanium (20nm)/Gold (250nm) electrodes were deposited by e-beam evaporation. The sensors were tested for response to ozone in 25 to 100 ppb concentration range in a humidity and temperature controlled testing chamber. UV LED with a 5-10% duty cycle was used to reset the resistance to the baseline value keeping the total power consumption under 200uW. The flexible ozone sensor shows highly sensitive and selective responses from 25 parts per billions (ppb) to 100 ppb. This ultra-low power, highly selective and sensitive nano-scale thick ALD deposited ozone sensor can be integrated with a highly bio-functional nanocellulose substrate in the flexible system. Combined with the body powered, battery-free and wearable health monitoring systems as developed at NSF-ASSIST center, this has a potential to play a key role in management of respiratory ailments

View Supplemental Document (pdf)
AA-MoP-59 Plasma-enhanced Atomic Layer Deposition of Ruthenium Thin Film using (p-cymene)(dimethyl-hexadiene)Ruthenium
Jun Hwan Choi (UP Chemical Co., Ltd., Republic of Korea); Seongyoon Kim (Sejong University, Republic of Korea); Beom-Sang Yoo, Won Seok Han, Wonyong Koh (UP Chemical Co., Ltd., Republic of Korea); Won-Jun Lee (Sejong University, Republic of Korea)

The resistivity of copper interconnect increases rapidly as the linewidth decreases beyond 30 nm due to the sidewall scattering of electrons. The resistance of copper interconnect increases even further because it is hard to scale the thickness of barrier and cladding layers. In addition, the maximum allowable current density in high-performance logic devices will be limited by the electromigration failure of scaled copper interconnects. These problems can be solved by replacing copper by an alternative metal. Ruthenium and cobalt are attracting attention as candidate conductor materials because they have shorter mean free paths of electrons and higher melting point compared with copper. They can also improve the resistivity by reducing the barrier thickness because they do not attack the lower layer. Atomic layer deposition (ALD) is a promising method for gapfilling of damascene pattern or the conformal deposition of a seed layer for electroplating. The most popular co-reactant in ALD ruthenium is O2. However, the drawback of O2 is the formation of the insulating layer by oxidation the underlying layers, resulting in high resistance. In the present work, therefore, we studied the plasma-enhanced ALD (PEALD) of ruthenium thin film using (p-cymene)(dimethyl-hexadiene)ruthenium and non-oxidizing co-reactants, the mixtures of N2 and H2 or NH3. Ruthenium thin films were grown in a showerhead-type cold-wall PEALD reactor. The growth rate, composition, and resistivity of the deposited films were investigated with varying co-reactant and plasma condition.

AA-MoP-60 Low Temperature Plasma Enhanced Atomic Layer Deposition of SiOx Films using New Divalent Si Precursor for Thin Film Encapsulation
Jung-Hoon Lee, Ju-Hwan Lee, Wan-Ho Choi (Hanyang University, Republic of Korea); Jung Woo Park (Hansol Chemical, Republic of Korea); Jin-Seong Park (Hanyang University, Republic of Korea)

Silicon dioxide (SiO2) is a well-known dielectric material, which have been used for semiconductor industries such as gate dielectric, gate spacer and deep shallow trench.

Besides, SiO2 attract its attention as a promising material for thin film encapsulation (TFE), organic devices such as light emitting diode, photoelectric device need encapsulation layer to prevent degradation of organic materials caused from oxygen and water vapor. Conventionally, SiO2 films are deposited by chemical vapor deposition (CVD), sputtering and thermal evaporation. The above methods have some problem for TFE such as high growth temperature, poor step coverage, point defect. Plasma enhanced atomic layer deposition (PEALD) method is popular that can achieve high quality SiO2 without defect at relatively low temperature.

In our study, SiOx thin film was deposited by PEALD method using N,N’-tert-butyl-1,1-dimethylethylenediamine silylene as a precursor, oxygen plasma as a reactant. PEALD processes show surface limit reaction behavior as increase precursor dose with constant purge time 10s during processes. Also, it exhibited significant process window in the temperature range of 80-200oC with negligible ble carbon, nitrogen impurity concentration. Film thickness, refractive index of SiOx thin films are investigated using spectroscopic ellipsometry (SE) and films have about 1.45-1.5 refractive index value which is correspond to SiO2’ refractive index although we used divalent precursor. Due to low temperature process, SiOx thin films are deposited on polymer substrate under 120oC and Water vapor transmission rate (WVTR) is measured by Ca-test method. As a result, we can compare the WVTR properties of SiOx depending commercial precursor and new divalent precursor.

View Supplemental Document (pdf)
AA-MoP-61 Atomic Layer Deposited Tungsten (W) Thin Films using Fluorine-free W Precursors
Soo-Hyun Kim, Min Young Lee, Tae Hyun Kim (Yeungnam University, Republic of Korea)

The tungsten films have good properties such as relatively low resistivity (bulk resistivity: 5.6μΩ∙cm), low reactivity with oxygen, and good step coverage deposited by chemical vapor deposition method. So, it has widely investigated in semiconductor device technologies including the word lines and bit lines of memory devices. However, with the continuous shrinkage and novel 3D structure with high aspect ratio of device, a conformal deposition technique on a high aspect-ratio trench and hole structure with excellent uniformity of thickness and process controllability is essential. In these respects, atomic layer deposition (ALD) for these materials have been drawn much attention because ALD uses a self-limiting film growth mode by surface-saturated reaction, which enables atomic scale control of the film thickness with excellent step coverage. The WF6 precursor was commonly used as a precursor for atomic layer deposition but this process using WF6 precursor has some problems. It has long incubation time and additional pretreatment carried out. Furthermore, fluorine remaining in the tungsten film made critical damage on underlying substrate. To solve these problems, we report the plasma-enhanced ALD (PEALD)-W and thermal ALD-W processes using two kinds of fluorine-free precursor, an inorganic WCl5, and a novel tungsten metalorganic precursor with various reactants. For example, tungsten thin films using WCl5 precursor were deposited by using showerhead type ALD reactor (ALD, Lucida-M100, NCD technology) with H2 plasma as a reactant. The deposition temperature’s range is from 200 to 350°C. We check the grazing incidence angle (q = 3° ) XRD patterns of the typical PEALD-W film deposited on SiO2 substrate. Five peaks from primitive cubic-structured beta tungsten were clearly shown. T he properties of PEALD-W and thermal ALD-W films with deposition conditions have been evaluated by using various tools; resistivity by 4-point probe and XRR(or X-SEM), step coverage by XTEM, phase using XRD, composition by SIMS, and chemical bonding by XPS.

Acknowledgements

This work was supported by and the MOTIE (Ministry of Trade, Industry & Energy (#10080651) and KSRC (Korea Semiconductor Research Consortium) support program for the development of the future semiconductor device and by a grant from the Advanced Technology Center (ATC) Program (10077265, Commercialization technology development of solid transition metal chloride precursor for semiconductor thin film) funded by the Ministry of Trade, Industry & Energy of the Republic of Korea. The precursors used in this study were provided by UP Chemical Co. Ltd. and Hansol Chemical Co. Ltd., Korea.

AA-MoP-62 Low Temperature Atomic Layer Deposited MoNx as an Efficient Cu-diffusion Barrier
Soo-Hyun Kim, Tae Hyun Kim, Dip Kumar Nandi (Yeungnam University, Republic of Korea)

A deposition technique with extremely precise control over film thickness, the low deposition temperature and non-corrosive by products (like acids) during deposition are the essential criteria for an efficient barrier layer. To address all of these issues, molybdenum nitride (MoNx) is deposited at a relatively low temperature (175-300oC) by atomic layer deposition (ALD) using molybdenum hexacarbonyl [Mo(CO)6] as a novel precursor for Mo and ammonia gas (NH3) as reactant. The as-grown MoNx are mostly amorphous, however poor nano-crystalline h-MoN phase formation is evident from the deposition temperature of 250oC and beyond. The lowest resistivity less than 6000 μΩ-cm for as-deposited film reflects the suitable properties of these MoNx films to be used as barrier material. Nevertheless, X-ray diffraction (XRD) analysis also reveals that the crystallinity could be enhanced by post-annealing from 500oC to 700oC that converts the film into cubic-Mo2N phase as well. Corresponding with this, the film resistivity was decreased to ~4000 μΩ-cm. The properties of as-deposited and annealed films are further well-characterized by secondary-ion mass spectroscopy (SIMS), X-ray photo electron spectroscopy (XPS), Rutherford back-scattered spectroscopy (RBS) etc. Two set of ALD-MoNx films (~4 nm) grown at 225 and 275oC are tested as a diffusion layer against Cu. It is observed that the film deposited at higher temperature acts better for this purpose. The possible reason for this might be the higher density of the ALD-MoNx films grown at 275oC compared to the films deposited at 225oC.

Acknowledgements

This work was supported by a grant from the Advanced Technology Center (ATC) Program (10077265, Commercialization technology development of solid transition metal chloride precursor for semiconductor thin film) funded by the Ministry of Trade, Industry & Energy of the Republic of Korea, and BK21+ program. The precursor used in this study was provided by UP Chemical Co. Ltd., Korea.

View Supplemental Document (pdf)
AA-MoP-64 State Key Laboratory of Digital of Manufacturing Equipment and Technology, School of Mechanical Science and Engineering,Huazhong University of Science and Technology
Qinyong Xiang, Binze Zhou, Kun Cao, Bin Shan, Rong Chen (Huazhong University of Science and Technology, China)

CsPbX3 (X=Cl, Br, I) lead halide perovskite quantum dots (LHPs) have attracted great attention due to their excellent optical properties, such as tunable wavelength, narrow emission, and high photoluminescence quantum efficiency [1]. However, When LHPs are exposed to external environment (humanity, heat and light), the degradation of LHPs occurs and leads to the photoluminescence quenching [2-3]. In this work, alumina shell is deposited by atomic layer deposition to stabilize CsPbBr3 QDs-silica nano luminescent sphere. The CsPbBr3 QDs are coated with nanoscale Al2O3 layers with excellent uniformity and the aggregation of QDs are also avoided during ALD process. The as prepared CsPbBr3 QDs-silica nano luminescent spheres not only retain the high photo luminescent quantum yields, but also exhibit excellent stability in water and heat. Further characterizations results show that Al2O3 coating effectively avoids the destruction of QDs’ crystalline structure in water and thus enhance the stability. This method is potentially applicable to the stabilization of other QDs such as CdSe, InP and PbS and backlight devices.

[1] A. Swarnkar, R. Chulliyil, V. Kumar Ravi, Angew. Chem. Int. Ed., 2015, 54, 15424

[2] Xiaoming Li, Ye Wu, Shengli Zhang, Adv. Funct. Mater., 2016, 26, 2435

[3] L. Protesescu, S. Yakunin, Maryna I. Bodnarchuk, Nano. Lett., 2015, 15, 3692

AA-MoP-66 Research on Properties of Protective Film Deposited on Silver Hat with Large and Complex Morphology at Low Temperature
Yang Liang, Li Lina (Kaili University, China)

Sulphides and oxides formed on the surface of silver hat will degrade the appearance seriously.To solve the problem,Al2O3 protecting film was deposited on silver hat for anti-tarnishing by thermal atomic layer deposition technique with Al(CH3)3 and H2O as precursors at low substrate temperature. The film with thickness uniformity less than 6.8% has been successfully prepared on both the internal and external surfaces of silver hat by designing and using a dispersal device to make the gas flow uniform. Some small particles appearing on the surface of the film disappear by optimizing process parameters. The x-ray diffraction test shows that the film is amorphous at 120℃. The x-ray photoemission spectroscopy and scanning electron microscopy-energy dispersive spectrometer test show that the atomic ratio and weight percent of oxygen to aluminium for the Al2O3 film are 1.52 and 0.86 respectively. The accelerated corrosion experiment, diffuse reflection test and color difference calculation show that the protecting film with thickness of 30nm has a satisfactory anti-tarnishing effect and produces no effect on the appearance of silver ornament.

AA-MoP-68 Fabrication of Microchannel Plate (MCP) using 3D Printing and ALD Coatings
Anil Mane, Lei Xia, Junqi Xie, Robert Wagner, Howard Nicholson, Michael Pellin, Jeffrey W. Elam (Argonne National Laboratory)

Microchannel plates (MCPs) are 2D-electron amplifiers with high gain (103-105) used in a variety of commercial applications including night vision and particle detection. Traditional MCPs are made with lead glass capillary arrays with millions of pores of size from a few to tens of microns diameter [1]. A pair of MCPs sealed in a package under ultra-high vacuum with a photocathode window is the basis for photodetectors that provide picosecond time resolution and sub-millimeter spatial resolution. Recently, MCPs have been fabricated using non-lead micro capillary array (MCA) glass substrates functionalized by ALD coatings with dramatically larger area and improved properties compared to lead glass MCPs [2]. 3D printing may provide a more flexible and cost effective route for producing micro capillary array substrates. To explore this approach, we used a 3D printer based on 2-photon polymerization with ~50 nm spatial resolution to fabricate capillary arrays ~1 cm2 in area. We functionalized these 3D printed substrates with ALD resistive coatings composed of a W-Al2O3 nanocomposite, and MgO secondary electron emission layers [2, 3]. These 3D printed MPCs show gain and spatial uniformity comparable to the MCA glass MCPs. Here we will discuss the synthesis, characterization, and testing of MCPs using 3D printed structures and ALD functionalization. 3D printed MCP substrates offer the possibility of significant cost savings in materials and labor compared to MCA glass. More importantly, 3D printing can easily create tailored structures not possible using conventional techniques, and this will facilitate new applications for MCP technology.

References:

[1] J. L. Wiza, Nuclear Instruments and Methods, 162, (1979) 587-601

[2] 2] Anil U. Mane; Jeffrey W. Elam; Robert G. Wagner; Oswald H. W. Siegmund; Michael J. Minot, SPIE Proceedings, 9968, XVIII, 99680C

[3] Wagner et. al, (US Patent application submitted 2016)

AA-MoP-69 Zn-doped TiO2 Hollow Fibers Fabricated by ALD Nanolamination for Photocatalysis
Li-Chen Wang (National Tsing Hua University, Taiwan, Republic of China); Wei-Szu Liu, Chung-Yi Su, Tsong-Pyng Perng (National Tsing Hua University)

Recently, one-dimensional (1D) materials such as SnO2, ZnO, and TiO2 nanowires or nanotubes have attracted immense attention due to their unique and tunable optical, magnetic, electrical, and chemical properties. Tremendous attempts, therefore, have been made to refine these properties by incorporating various ions into the 1D nanomaterials for application in photocatalysis. In this study, we demonstrated a controllable synthesis of Zn-doped TiO2 (Zn-TiO2) hollow fibers as a photocatalyst by atomic layer deposition (ALD) via nanolamination for hydrogen evolution and continuous-flow dye degradation. Herein, diethyl zinc (DEZ), titanium tetrachloride (TiCl4) and H2O were utilized as precursors of Zn, Ti, and O in the ALD reaction, respectively, to fabricate laminated layers of ZnO and TiO2 on hollow polysulfone fibers (PSFs). The laminated composite was then annealed to remove the PSF and get a uniform doping of Zn in TiO2. It was revealed that the photocatalytic efficiency of the Zn-TiO2 hollow fibers could be affected by the concentration of Zn (Xz) and the film thickness of Zn-TiO2. The Xz could be precisely controlled by the cycle numbers of DEZ/H2O (Nz) and TiCl4/H2Ocycles (NT) in a supercycle (Nz+NT), and the film thickness of Zn-TiO2 could be determined by the number of supercycles (n(Nz+NT)). More importantly, this dry process to deposit Zn-TiO2 thin film with perfect conformality on the porous PSF template offers a large surface area for the novel nanostructured photocatalyst. The structure of interconnected Zn-TiO2 nanotubes inside the fiber wall benefits efficient trapping of the reflected photons within the hollow fibers, further improving the photocatalytic efficiency of hydrogen evolution and continuous-flow dye degradation.

View Supplemental Document (pdf)
AA-MoP-70 Co Decorated Ni/Al2O3 Catalysts Fabricated via Atomic Layer Deposition with Coking and Sintering Resistance Towards Dry Reforming of Methane
Kun Cao, Miao Gong, Jianfeng Yang, Jiaming Cai, Bin Shan, Rong Chen (Huazhong University of Science and Technology, China)

The advances in natural gas recovery have drawn much attention to dry reforming of methane (DRM) with carbon dioxide.[1] Nickel is an effective component for the reforming of methane in terms of the catalytic activity and cost, while Ni is plagued by deactivation due to sintering and heavy coking in the catalytic environment.[2] It is necessary to tune the catalytic structure and add the properties of high resistance to sintering, hot spot formation, and coke deposition, to the Ni catalysts. In this work, a mesh-like cobalt oxide coating structure is prepared on Ni nanoparticles via atomic layer deposition. The CoO /Ni catalysts demonstrate both good coking eliminable and sintering resistance ability compared with supported Ni nanoparticles catalysts and CoO /Ni catalysts prepared by incipient wetness method. Co oxide layer is deposited on Ni nanoparticles with discontinuous structure. The mesh-like coating layer could inhibit continuous carbon nanotubes formation, meanwhile CoO deposited on Ni surface partly passivates the coking sites also eliminate carbon formation. In addition, the coating layers provide physical barriers that anchor Ni nanoparticles and improve the sintering resistance.

[1] Lukas C. Buelens, Vladimir V. Galvita, Hilde Poelman, Science, 2016, 354, 449

[2] Troy D. Gould, Matthew M. Montemore, Alia M. Lubers et al. Appl. Catal. A, 2015, 492, 107

AA-MoP-71 Atomic Layer Deposition of Y:ZrO2 on Nanoporous Silver for Thermally Stable Solid Oxide Fuel Cell Metal Cathodes
Hyung Jong Choi, Kiho Bae, Dong Young Jang, Gwon Deok Han, Junmo Koo, Joon Hyung Shim (Korea University, Republic of Korea)

Solid oxide fuel cells (SOFCs), which produce electricity by converting chemicals directly into electrical energy, are attracting much attention due to their high efficiency and fuel flexibility. Since low-temperature SOFC (LT-SOFC) has a short start-up time and a wide selection of SOFC materials, studies are under way to lower the SOFC operating temperature (<500°C). However, by reducing the operating temperature, the oxygen reduction reaction (ORR) kinetics on the cathode sharply diminishes and degrades the overall electrochemical performance of the LT-SOFC. Therefore, for a high-performance LT-SOFC, it is essential to develop a cathode having high ORR activity.

Metal-based materials can be considered as LT-SOFC cathodes. For example, platinum (Pt) is the representative fuel cell cathode material because it has the excellent ORR activity when comparing to others. However, the cost of Pt is very high due to low reserves which hinders the widespread use of Pt. Silver (Ag) can be a potential alternative cathode material because it is much cheaper than Pt and has high oxygen solubility and diffusivity. However, Ag can be thermally agglomerated at the operating temperature of LT-SOFC due to the rapid reduction of AgOx to Ag and the low melting point. Thermal agglomeration of Ag can destroy the overall microstructure of the cathode and reduces the three-phase boundary of Ag, the active site for ORR. As consequence, the long-term performance of LT-SOFC using Ag cannot be guaranteed.

In this study, we propose a method to fabricate a thermally stable metal cathode by coating a nanoscale Y:ZrO2 (YSZ) thin film on Ag surface using atomic layer deposition (ALD). ALD allows precise control of thickness in atomic-scale while enabling uniform YSZ coatings on nanoporous Ag structures. Electrochemical performance experiments showed that a surface treated Ag cathode showed a power density comparable to a porous Pt cathode. Also, during long-term testing, the Ag coated with ALD-YSZ exhibited a stable current, but a bare Ag cathode showed abrupt deterioration. Through scanning electron microscopy, we found that the bare Ag cathode agglomerated severely while the surface-coated Ag could retain its nanoporous structure after the test. Thus, we can conclude that the electrochemical performance of Ag can be enhanced by ALD-YSZ capping on the surface that can preserve the nanoporous structure of the cathode at LT-SOFC operating temperatures.

AA-MoP-72 Plasma Enhanced Atomic Layer Deposition of Co-C Thin Film as Hydrogen Evolution Electrocatalysts
Qi Peng Fan, Zhongwei Liu, Qiang Chen, Zhengduo Wang, Lijun Sang (Beijing Institute of Graphic Communication, China)

In recent years, transition metal carbides (TMCs) have attracted increasing interest in the fields of mechanics, biomedicine and nanomagnetism due to their scientific and industrial importance. Among these transition carbides, cobalt carbide is one of important TMCs because of its excellent magnetic properties, which is identified as an alternate to rare earth magnets. Beside this, cobalt carbide was also studied as a promising catalysis for the dehydrogenation of ethanol to acetaldehyde, the production of lower olefins from syngas and low temperature water-gas shift. The present study reports the deposition of cobalt carbide films by the technique of plasma enhanced atomic layer deposition (PEALD). Bis(N,N-diisopropylacetamidinato)-cobalt (Ⅱ) (Co(ipr2AMD)2) was used as cobalt and carbon precursor, and hydrogen plasma as reactive agent. The PEALD process is able to produce smooth, pure, and low resistivity Co-C thin films with an ideal selflimiting ALD growth fashion. Co-C thin films with a uniform thickness can be found throughout the entire trench with an aspect ratio as high as 20:1.The influences of the precursor pulse time, deposition temperature and input power on the growth rate of Co-C thin films were investigated. When the deposition temperature is 80℃ and the input power is 60 W, the saturated growth rates of the films are 0.065 nm/cycle . XRD and XPS measurements suggest that these films are composed of the amorphous phase. The ALD-synthesized Co-C/carbon cloth catalyst acted as efficient electrocatalyst for HER at low overpotential (η10 mA cm-2=182 mV) with long-term stability (keeping the activity at least 48 h) in 1 M KOH.

AA-MoP-73 TiO2 Layer by Low Temperature Atom Layer Deposition for Perovskite Solar Cells
Qiang Chen, Yumeng Wang, Dongdong Wang (Beijing Institute Of Graphic Communication, China)

In PSCs, the n-type electron transport layer (ETL) plays an important role in extracting photo-induced free electrons and suppressing front-surface.The simplification of perovskite solar cells (PSCs) which replaces the mesoporous electron selective layer (ESL) with a planar one is advantageous for large-scale manufacturing and greater selection of flexible substrates.Toward this end, we utilize thermal atomic layer deposition (T-ALD) to deposit ultra-thin (20nm) compact TiO2 underlayers at 140℃ low-temperature as an eletron transporting layer in planar halide perovskite solar cells.Herein we regulate the electron transport layer energy level by plasma treatment to maintain good carrier extraction.When replacing Sol-gel method with thermal atomic layer deposition,the fabrication of our perovskite solar cells was conducted at low temperatures, which should simplify manufacturing of large-area perovskite devices that are inexpensive and perform at high levels.

View Supplemental Document (pdf)
AA-MoP-74 Oxides Encapsulation for Surface Passivation of Aluminum Nanoparticles via Atomic Layer Deposition
Jiawei Li, Kai Qu, Jing Zhang, Xiao Liu, Rong Chen (Huazhong University of Science and Technology, China)

Aluminum nanoparticles have attracted great attention for energy storage applications such as solid fuels, aerospace propulsion etc.[1,2] However, Al nanoparticles are easy to be oxidized by moisture and oxygen in ambient condition, which leads to the decrease of energetic metallic Al component. The surface passivation is necessary to enhance the stability of Al nanoparticles for practical applications. This work develops an oxide-encapsulation method for Al nanoparticles via atomic layer deposition to enhance their stability. Different types of oxides are encapsulated on Al nanoparticles. It is found that Al nanoparticles coated by ZrO2 exhibit excellent corrosion resistance ability against hot water-bath compared with Al2O3 coating. The failure mechanisms of Al2O3 coating are studied. The generated hydrated oxide such as AlOOH reacts with active Al are responsible for the perforation of Al2O3 thin film. For ZrO2 coating, denser ZrO2 thin films and the generated interfaces between native Al layers enhance the stability of Al nanoparticles significantly.

[1] X Li, Y Zhou, H Nian, X Zhang et al., Energ. Fuel, 2017, 31, 6

[2] LA Fredin, Z Li, MT Lanagan, et al., Adv. Funct. Mater 2013, 23, 3560

AA-MoP-75 Atomic Layer Deposition of ­Titanium Oxide-based Electrocatalysts for Li-O Battery
Yongliang Li (Shenzhen University, China)

Li-O2 batteries have been attracted worldwide research interests and considered to be the potential alternatives for the next-generation devices. Nitrogen doped carbon nanofibers (N-CNFs) prepared by electrospinning are used as flexible substrate and the TiO2-based layers are coated by atomic layer deposition (ALD) and are employed as electrocatalysts for Li-O2 batteries. The TiO2-based electrocatalysts deliver a high specific capacity, improved round-trip efficiency and good cycling ability. The superior electrochemical performance can be attributed to the superior catalytic activity of TiO2-based electrocatalysts toward oxygen reduction reaction (ORR) and oxygen evolution reaction (OER) during the discharge/charge processes. This work paves a way to develop the catalyst materials for the future development of high-performance Li-O2 batteries.

AA-MoP-76 Fabrication of Pt Nanoparticles on VN@TaC Porous Structure by Forced-Flow ALD for Proton Exchange Membrane Full Cell
Li-Chuan Tsai, Tzu-Kang Chin, Tsong-Pyng Perng (National Tsing Hua University)

Proton exchange membrane fuel cell (PEMFC) is considered as a promising clean energy because it can convert hydrogen and oxygen into electricity and the only byproduct is water which does not pollute the earth. In PEMFC, Pt is commonly used as the catalyst. In order to maximize the Pt catalytic activity, extensive studies have been made on uniform deposition of nano-sized Pt particles on the catalyst support. Herein, we report a controllable fabrication of Pt nanoparticles on our homemade VN@TaC porous structure by a forced-flow atomic layer deposition (ALD) process for PEMFC. TaC porous structure was first synthesized by a salt flux method, and it showed good electric conductivity, large surface area, and high stability which are the three indispensable requirements for the PEMFC catalyst support. It was then deposited with VOx by a sol-gel method, followed by reduction in an ammonia atmosphere to form VN@TaC. Here, VN can act as not only a catalyst support but also a cocatalyst for PEMFC, which can enhance the performance of PEMFC with less Pt. For the forced-flow ALD process, the sample powder of VN@TaC was dropped on a glass microfiber filter which was then placed on a stainless steel mesh in the reaction chamber. The precursors, MeCpPtMe3 and O2, were forced to flow perpendicularly through the filter so that the Pt particles could be more uniformly deposited on VN@TaC than by conventional horizontal flow ALD. The Pt particles were semispherical and 3-6 nm in diameter. For comparison, Pt was also deposited by forced-flow ALD on TaC and VN. All of the three samples, i.e., Pt@TaC, Pt@VN, and Pt@VN@TaC, loaded with approximately 5% Pt, demonstrated higher specific power density than commercial electrode (20% Pt loading on carbon black). More importantly, Pt@VN@TaC showed better performance than Pt@TaC and Pt@VN, that is attributed to the synergistic effect between TaC and VN.

AA-MoP-77 Atomic Layer Deposition of TiO2 Thin Films on Aluminium Foil: Material Properties and Application as Protective Layer for Food Packaging
Vanessa Dias (Universidade do Vale do Paraíba, Brasil); Rodrigo Pessoa, Anderson Lobo, Fernanda Marciano (Universidade Brasil); Homero Maciel (Instituto Tecnológico de Aeronáutica)

Several types of coatings have been used for corrosion protection of food packaging [1]. The CO2-containing beverages such as beer and carbonated drinks have acidity and chlorides in the composition and, when packed in Al cans, can promote the corrosion process by encountering the Al material. To prevent this, commercial Al cans are coated internally by synthetic resins that aims to protect the Al surface from direct contact with the beverage. However, when the resin is not applied correctly or when the Al can undergo mechanical impact or elevated temperatures, the occurrence of localized corrosion is verified due to the presence of pinholes, cracks or grains boundaries in the coatings [2]. In food packaging, the application of ALD technique has already been discussed for the protection and increase of shelf life of products such as paperboard used in food packaging with Al2O3 and TiO2 coatings. However, the synthesis of protective thin films using the ALD process in the field of aluminum cans for carbonated beverages have not yet been reported. The aim of this work was to investigate the TiO2-covered Al foil properties and evaluate its electrochemical behavior using as electrolyte a commercial beer. The samples were separated into three groups: (i) Al foil, (ii) commercial resin coated Al foil and (iii) Al foil coated with TiO2 film obtained from ALD process using Beneq TFS-200 equipment. TiCl4 and H2O were used as precursors at 100ºC, while the reaction cycle number ranged from 500 to 2000. The corrosion resistance was carried out in a potentiostat / galvanostat AUTOLAB302N (Metrohm). The commercial beer was used as electrolyte. RBS, FT-IR and SEM were performed in TiO2/substrates to investigate the GPC, elemental composition, chemical bonding and surface morphology. The electrochemical impedance spectroscopy (EIS) tests were performed on open circuit potential during 1h. From the potentiodynamic polarization, the corrosion and passivation potential of each sample were measured. To analyze the film strength, the protection efficiency was calculated for each sample. SEM images show that the films tend to follow the substrate surface roughness, even at high film thickness. The EIS results demonstrate an increase of up to five times in the corrosion resistance of aluminum when coated with TiO2, when compared with bare aluminum foil. The EIS analyses focus on understanding the kinetics of the corrosion process and correlate with film properties.

[1] B. Katemann, C. Inchauspe, P. Castro, A. Schulte, E. Calvo, W. Schuhmann, Eletrochimica Acta. 48, (2003) 1115.

[2] B. Soares, C. Anjos, T. Faria, S. Dantas, Packaging Technology and Science. 29, (2016) 65.

AA-MoP-78 Atomic Layer Deposition of Nitrogen-incorporated MoOx Films: Electrical and Electrochemical Properties
Arpan Kumar Dhara, Debabrata Saha, Shaibal K. Sarkar (Indian Institute of Technology Bombay, India)

Oxynitrides or nitrogen incorporated oxides of transition metals are rapidly gaining attention in materials research because of their tunable electrical and optical properties. They offer usage in variety of applications like photocatalysis, phosphors, electrochemical energy storage, magnetic materials etc. Primary changes in the material properties after incorporation of nitrogen occur due to the difference in electronegativity, electronic charge and polarizability between oxygen and nitrogen atoms. However, effective and homogeneous doping in the host material is a major practical issue till date. Constructive ways to increase the conductivity without significantly altering the material’s chemical properties after doping are still a challenge. Usually nitrogen incorporation is carried out by annealing oxides under NH3 or N2/H2 gas environment. In such circumstances the metal ions are sensitive to reduction which results in the formation of undesirable phases in the doped materials. Also the possibility of dopant inhomogeneity is a major drawback towards obtaining good quality doped materials.

Here we report, amorphous nitrogen-incorporated molybdenum oxide (MoOx:N) thin films synthesized by atomic layer deposition (ALD) at relatively lower temperature of 170ºC. One ALD cycle of molybdenum nitride (MoNx) is sandwiched for nitrogen incorporation between two MoOx layers. The concentration of nitrogen is controlled by varying the ratio of MoOx/MoNx layers. Quartz crystal microbalance (QCM) measurement is carried out to study the mass change after every single precursor dosed into the reactor. Secondary Ion Mass Spectra (SIMS) shows the presence of nitrogen throughout the deposited films. Hence uniform inclusion of N-atom is adequately achieved at the reaction temperature. It is observed that conductivity of MoOx films increase with increase in nitrogen concentration. Room temperature and low temperature electrical properties of different films are also studied in detail to understand the transport mechanism with and without nitrogen incorporation.

Both pristine and N-incorporated MoOx are deposited on high surface area MWCNTs and applied as anode material in lithium ion batteries. As expected, addition of nitrogen helps to improve the electrochemical performance because of the increased ionic and electronic conductivity.

AA-MoP-79 Deposition of Multi-Component Amorphous Oxide Semiconductor for the Oxide Thin-Film Transistors
Jong Beom Ko, Seung-Hee Lee, Sang-Hee Park (Korea Advanced Institute of Science and Technology, Republic of Korea)

Amorphous oxide semiconductor (AOS) thin films are appropriate candidate for the driving devices of the high-end display due to their several important advantages. Mostly, to obtain amorphous phase of oxide semiconductors, two or more cations with different atomic sizes and ionic charges are mixed, and various kinds of AOS materials with multi-component system are reported including IZO, ZTO and ITZO. The most of multi-component of AOS materials are deposited by physical vapor deposition, especially sputter. However, it may cause non-uniformity of electrical characteristics in large area due to heterogeneous distribution of O2 plasma. In contrast, the atomic layer deposition (ALD) is superior method to deposit films with good uniformity.

In here, the IZO and ITZO films were deposited with plasma-enhanced ALD (PEALD) by feeding metal precursors and oxidize with O2 plasma. We successfully deposited different composition of IZO and ITZO for the semiconductor and applied to the TFTs. To control the composition of AOS films, the feeding dose of indium precursor was modified while fix the dose amount of another precursor.

From the XRD pattern, we confirm that the phase of the IZO and ITZO films deposited by PEALD was amorphous which appropriate to the active layer due to good electrical characteristics. The composition of the IZO and ITZO films also investigated by means of XPS. As the dose of the indium precursor increase, the indium composition in IZO and ITZO also increase. The different composition of the IZO and ITZO films were applied to the BGBC TFTs as the active layer, and their electrical characteristics were investigated. The linear mobility of the TFTs with IZO was about 18cm2/Vs and the mobility value was increased as the indium composition of IZO increased. The turn-on voltage (Von) of the IZO TFTs was -1V and it shift to the negative direction as the indium composition increase. For the TFTs with ITZO, the field effect mobility and Von were about 30cm2/Vs and -1V, respectively. For the measurement of stability at the bias and temperature stress condition, the devices were biased with +20V and -20V at 60oC condition during the 10000 sec. The transfer curve of IZO TFTs were barely shifted during the stress, however, the transfer curve of the ITZO TFTs were shifted about 0.5 and -1V after positive and negative bias, respectively. From the research, we found the feasibility of applying the PEALD process for the multi-component AOS for the oxide TFTs. The composition of the AOS films was easily controlled and showed different electrical properties depending on the composition.
AA-MoP-80 Identification and Improvement of Reliabilities on Oxide Semiconductor TFTs with Al2O3 Gate Insulator Layer Deposited by Various Atomic Layer Deposition Process
Kyoungwoo Park, Gukjin Jeon, Seung-Hee Lee, Jong Beom Ko, Sang-Hee Park (Korea Advanced Institute of Science and Technology, Republic of Korea)

Among the backplanes for the next generation display, the oxide semiconductor TFTs is receiving the most attention because of its characteristics such as high mobility, high stability, scalability, low process temperature and high transparency. However, due to the intrinsic problem of oxide semiconductors caused by oxygen and hydrogen species, it is hard to control reliabilities under various stress conditions. One of the most effective ways to improve this reliability is defect passivation by hydrogen. However, previous studies have shown that hydrogen can act positive or negative roles in oxide TFTs.

In order to overcome these issues, we investigated the causes of degradation of oxide TFTs by applying Al2O3 gate insulator layer (GI) deposited by various atomic layer deposition (ALD) methods using trimethylaluminum (TMA). ALD method was used to determine the factors affecting the positive bias temperature stress (PBTS) and negative bias illumination stress (NBIS) reliabilities by varying the reactants such as H2O and O2 plasma in Al2O3 GI deposition. In case of TFT with Al2O3 deposited by using H2O reactant, it shows very stable characteristics under PBTS due to hydrogen passivation, however, NBIS has shown unstable results. On the contrary, TFT with Al2O3 deposited by using O2 plasma reactant, it exhibited stable NBIS characteristics but exhibited very unstable PBTS due to plasma-related defects. From the above results, we have confirmed that the hydrogen may passivate the defects at the shallow level, and may also generate the new defects at the deep level in oxide semiconductors. And the influence of hydrogen on the characteristics of oxide TFTs was confirmed by controlling the amount of hydrogen by varying the deposition temperature of Al2O3 GI. As a result of measuring the electrical properties of these TFTs with the various deposition temperature of Al2O3 GI, there was no significant difference between the devices. However, it was confirmed that the hydrogen contents decreased as the deposition temperature of Al2O3 increased, and the NBIS instability was improved from -4.36V to -2.48V. In addition, photoluminescence (PL) analysis results of Al2O3 thin films with the various deposition temperature shown that as the number of hydrogen increases, new peaks are generated at the deep level. From the above results, we have confirmed that excessive hydrogens influx can generate new defects at the deep level, which can degrade NBIS reliability.

Based on these results, we will propose the way to improve reliabilities of oxide semiconductor TFTs via GI process optimization.

Session Abstract Book
(641KB, May 5, 2020)
Time Period MoP Sessions | Topic AA Sessions | Time Periods | Topics | ALD2018 Schedule