ALD2017 Session AA-SuP: ALD Applications Poster Session

Sunday, July 16, 2017 5:30 PM in Room Plaza Exhibit

Sunday Afternoon

Session Abstract Book
(618KB, May 5, 2020)
Time Period SuP Sessions | Topic AA Sessions | Time Periods | Topics | ALD2017 Schedule

AA-SuP-1 Atomic Layer Deposition Surface Functionalized Adsorbents for Adsorption of Metal Ions and Organic Pollutants
Xiaofeng Wang, Xinhua Liang (Missouri University of Science and Technology)

Ultrathin TiO2 and Al2O3 films were deposited on silica gel particles and biochars by atomic layer deposition (ALD), respectively. TiO2 coated silica gel particles were used as adsorbents for adsorption of metal and metalloid ions; their adsorption ability for a mixture of 19 trace elements of heavy metals and other toxic elements, including As(V), Se (IV), Be(II), Al(III), V(V), Cr(III), Mn(II), Co(II), Ni(II), Cu(II), Zn(II), Ba(II), Tl(I), Sb(III), Cd(II), Ag(I), Sr(II), Mo (VI), and Pb(II), from aqueous solutions was investigated. At pH 5, the 20 and 40 cycles of TiO2 coated samples without heat treatment removed remarkable amount of As(V), Se(IV), V(V), Mo(VI), Pb(II), Sb(III), Ag(I), Cu (II), and Ba(II) from the solution simultaneously. The micron-sized adsorbent particles were separated easily from water due to their large particle size, making it practically suitable for trace contaminant remediation in water. Al2O3 deposited biochars were used for removal of organic pollutants and the adsorption capacities were evaluated by adsorption of methylene blue (MB). The data fit well with the Langmuir isotherm and the maximum adsorption capacities were found to be 26.8 and 35.0 mg/g at 25 ºC for the uncoated biochar and 5 cycles of Al2O3 coated biochar, respectively. The improvement of adsorption capacity after Al2O3 ALD coating was due to its improved hydrophilia.

AA-SuP-2 Supported Ni Nanoparticle Catalysts Synthesized by Atomic Layer Deposition for Dry Reforming of Methane
Zeyu Shang, Xinhua Liang (Missouri University of Science and Technology)
Due to the limited availability and high cost of noble metals, Ni nanoparticles have been widely employed as catalysts in many research areas. Conventionally, supported Ni nanoparticles catalysts were synthesized by impregnation methods, but the particles were normally relatively large (typically tens of nanometers). Lately, extremely small Ni nanoparticles (~3 nm) have been successfully synthesized by atomic layer deposition (ALD). In our study, we deposited Ni nanoparticles on high surface area γ-Al2O3 substrates. The catalysts were employed for dry reforming of methane (DRM). DRM is important because two main greenhouse gases (carbon dioxide and methane) could be converted to syngas in this reaction process. The main disadvantage of the Ni catalyst for DRM reaction is deactivation, due to coking and sintering of Ni metal nanoparticles. In this study, the Ni/γ-Al2O3 catalyst prepared by ALD showed very high activity and stability in catalyzing DRM reaction in over 300 h at temperatures that ranged from 700 °C to 850 °C. The excellent stability of the catalyst resulted from the formation of NiAl2O4 spinel. The high catalytic activity was due to the high dispersion of Ni nanoparticles deposited by ALD and the reduction of NiAl2O4 spinel to Ni during the DRM reaction at 850 °C.
AA-SuP-3 Wear Behavior of Annealed Atomic Layer Deposited Alumina Thin Films
Zakaria Hsain, Guosong Zeng, Brandon Krick, Nicholas Strandwitz (Lehigh University)

Atomic layer deposited (ALD) alumina is a promising candidate for use as a wear-resistant and protective coatings in micro-electromechanical systems (MEMS); however, few efforts have been made to quantify and understand its wear behavior. The tribological properties of ALD alumina are affected by numerous factors related to processing, environment, and operating conditions. In this study, ALD alumina coatings of varying thicknesses are annealed at different temperatures, then subjected to tribological testing in dry nitrogen and humid air environments. Tribological properties, particularly wear rate, appear to be heavily influenced by annealing temperature. Surprisingly, wear rate dropped by more than two orders of magnitude after annealing at 1000 ⁰C, while coatings annealed at 900 and 1100 ºC were not as wear resistant.

This increase in wear resistance is due to crystallization and densification of the ALD coating. Other factors which are shown to contribute to wear mitigation include tribofilm formation and thermally-activated diffusion at the coating/substrate interface.

AA-SuP-4 Surface Enhanced Raman Scattering Effect on Various Pt Nanostructures by using Self-aligned Block Co-Polymer Template, Pt Atomic Layer Deposition
Won-Kyun Yeom, Jae-Hee Shin, Da-In Sung, Ji-Soo Oh, Jong-Sik Oh, Geun Young Yeom (Sung Kyun Kwan University, Republic of Korea)

Surface Enhanced Raman Spectroscopy(SERS) is a Raman Spectroscopic (RS) technique that provides greatly enhanced Raman signal from Raman-active molecules that have been adsorbed onto certain specially prepared metal surfaces. Theoretically, any metal would be capable of exhibiting Surface Enhance (SE), but noble metals and alkali metals satisfy calculable requirements and provide the strongest enhancement. Metals such as Pd or Pt exhibit enhancements of about 102~103 for excitation in the near ultraviolet. The SERS is applied as various bio and chemical sensors and analyzers.

In this research, SERS effect was investigated with various nanostructure and, by using self-aligned Block Co-Polymer(BCP) and Pt Atomic Layer Deposition(ALD), 40nm-scale Pt nanostructures with different shapes (nanorod, nanohole, and nanotube) and different heights (30nm, 50nm, and 90nm) were fabricated. The SERS effect investigated with rhodamine 6G on these nanostructures showed that the SERS effect is dependent on the shape of the nanostructure rather than the nanostructure.

In conclusion, using Pt ALD and BCP, different Pt nanostructures were formed on silicons substrate, and the effect of shape and size of the Pt nanostructure on the SERS effect of rhodamine 6G with the concentration of 10-6 mol was investigated. Among the investigated nanostructures, the Pt nanotube structure with 40nm-scale diameter / 90 height exhibited the best SERS effect.

AA-SuP-5 Effect of Post-annealing on the Performance of Ultraviolet Photodetectors with Atomic-Layer-Deposited ZnO Semiconductor
Jian Gao, Wen-Jun Liu, Shi-Jin Ding (Fudan University, China)

Ultraviolet photodetectors (PDs) can be applied to various fields, such as flame alarming, missile plume detection, ultraviolet solar irradiation etc. In this work, we have investigated the effect of post-annealing on the ZnO metal-semiconductor-metal (MSM) ultraviolet photodetectors. The ZnO thin films were deposited by atomic layer deposition (ALD) on SiO2/Si(100) substrates, and then Cr/Au bi-layers were used as interdigital electrodes. For as-fabricated ultraviolet PD, the dark current was too large and beyond our measurement range. Therefore, post-annealing in air under different temperatures and durations was performed on the ultraviolet PDs. After being annealed in air for 30 min, the dark- and photo-currents of the ultraviolet PDs reduced with increasing annealing temperature. For 250 oC annealing temperature, the dark current was equal to 5.16×10-11 A, and the ultraviolet-visible rejection ratio reached to 1.4 ×106, and the responsivity was as high as 1.78×103 A/W at 5V. Furthermore, increasing post-annealing time at 200oC in air can also greatly improve the performance of the ultraviolet PDs. In terms of 90 min post-annealing, the ultraviolet PD demonstrated a very low dark current of 1.42×10-10 A, a large ultraviolet-visible rejection ratio of 9.6×106, and a quite large responsivity of 1.30×104 A/W at 5V. This phenomenon can be attributed to the positive feedback of hole traps near the cathode. In a word, it is shown that the atomic-layer-deposited ZnO thin film is a promising candidate for high responsivity and low dark current ultraviolet PDs.

View Supplemental Document (pdf)
AA-SuP-6 Density and Origin of Pinhole-Defects in ALD Barrier Coatings on Steel Substrates
Tim Poljansek, Stefan Klein (Robert Bosch GmbH, Germany); Johann Bartha (TU Dresden, Germany)

ALD is capable of coating 3D substrates with a conformal, dense and defect free thin film. Because of that ALD is a promising technique to protect steel parts from corrosion [1]. However, the film growth on steel is not as good as on a silicon wafer, resulting in pinholes in the coating. The density of these pinholes is a crucial parameter, which strongly influences the barrier properties of the films. Reducing the pinhole density is a prerequisite for effective corrosion protection barriers. Therefore, the origin of the defects must be understood to eliminate the defect sources.

The goal of these investigations is to study the origin of the defects and to investigate the correlation of the pinhole density with the thickness of the coating and the surface pre-treatment.

A well-suited technique to visualize pinholes in an insulating ALD film on a conductive substrate is the copper electroplating process [2]. Thereby, copper is deposited on every part of the substrate that is not covered by the coating. This leads to copper bumps on every pinhole in the layer, without destroying the defect and its origin.

Al2O3 and Ta2O5 were deposited in a Picosun SUNALE R-200 Advanced reactor at 180 °C. The precursors for Al2O3 and Ta2O5 were trimethylaluminum and tris(ethylmethylamido)(tertbutylimido)tantalum(V), respectively, and water. For the copper deposition the electroplating solution CUPROSTAR NC from enthone was used. The substrates used in this study are grinded and lapped case hardened steel disks.

On Al2O3 and Ta2O5 coated steel samples after electroplating the defect density shows an exponential decay with increasing film thickness, until there are only few copper bumps detectable. Furthermore, thin Al2O3 coatings show less pinholes than Ta2O5 coatings, indicating a better film growth of Al2O3 on steel. The defect density of thin ALD coatings can be reduced if an additional surface pre-treatment with an alkaline cleaner is introduced,. To investigate the origin of the pinholes some of the copper bumps were milled with focused ion beam. At the center of some copper bumps a protrusion, most likely the origin of the pinhole, can be seen on the steel substrate. This is presumably a grain of dust.

The origin of the defects is under further investigation with secondary ion mass spectrometry. In addition, further experiments with different surface pretreatments, process parameters and ALD coatings are still in progress.

[1] R. Matero, M. Ritala, M. Leskalä, T. Salo, J. Aromaa, O. Forsén, J. Phys. IV France 9 (1999) 493-499

[2] Y. Zhang, J.A. Bertrand, R. Yang, S.M. George, Y.C. Lee, Thin Solid Films 517 (2009) 3269-3272

View Supplemental Document (pdf)
AA-SuP-7 Room-Temperature Atomic Layer Deposition of Al2O3 for Anticorrosion Coatings
Kensaku Kanomata, Makoto Ishikawa, Masanori Miura, Bashir Ahmmad, Shigeru Kubota, Fumihiko Hirose (Yamagata University, Japan)
Room temperature atomic layer deposition processes of SiO2, TiO2, Al2O3 and ZrO2 were reported by using plasma excited humidified argon. In this work, we examine the RT-ALD deposited Al2O3 as an anticorrosion coating for stainless steels. Trimethylaluminum (TMA) as the aluminum precursor was introduced with mass flow controller (MFC). A remote plasma system generating excited humidified argon was installed to the ALD chamber. The source gas for the oxidizing gas is a mixture of water vapor and argon. The plasma was generated in a glass tube with an induction coil with 13.56 MHz and RF power of 100 W. For the Al2O3 deposition, we repeated the cycle of TMA saturation and oxidizing gas treatment at RT. The TMA exposure was set at 5.86×10-3 Torr× 40 sec. The saturation of TMA on the hydroxylated surface was confirmed by the IR absorption spectroscopy. The plasma excited oxidizing gas was injected for 2 min. In the conference, we show the anticorrosion test of ALD coated stainless steel in an HCl solution. We used SUS430 plates with a size of 20×50×0.5 mm3 as the sample. In this test, we used a not-diluted HCl solution with a concentration of 35 %. All the samples were immersed in the HCl solution for a certain amount of minute at room temperature and we observed its surface coloring. In the conference, we discuss the applicability of the RT-ALD to the anticorrosion coating for metal components.
AA-SuP-8 Structural and Optical Properties of SnS and SnSe Thin Films Grown by Atomic Layer Deposition for Photovoltaic Applications
Ji Hye Kim, Young Duck Tak, Hyung Sang Park (ISAC Research Inc., Republic of Korea)

Because of low cost and abundance in nature, SnS has recently received great attention. Tin sulfides (SnSx) as well as Tin selenice (SnSex) have great potentials to provide high solar conversion efficiency because of an narrow optical band gap of 1.0 to 1.5eV with p-type conductivity. Although ideal conversion efficiency of SnS solar cells is 32%, existing SnS solar cells has very low efficiency. Recently, atomic layer deposited SnSx showed the highest efficiency. However, structural and optical properties of the films were not studied yet. We have prepared SnSx and SnSex films by atomic layer deposition using metal-organic at various substrate temperature. The structure, morphology and optical properties of the prepared thin films were studied using X-ray diffraction, scanning electron microscopy (SEM) and optical absorption techniques respectively and the results are discussed.

AA-SuP-9 Characterization of the Alumina-Alucone Multilayer Thin Film for a Flexible Transparent Electrode by Atomic Layer and Molecular Layer Depositions
Sung Tae Hwang, Seung Hak Song, Gyeong Beom Lee, Byoung-Ho Choi (Korea University, Republic of Korea)

To improve the mechanical reliability of the thin films deposited on a flexible display substrate, the interests in organic-inorganic hybrid systems are increasing in the related industries. In this study, the organic-inorganic hybrid thin films are deposited on polymer substrate using atomic layer deposition (ALD) and molecular layer deposition (MLD) techniques. The combination of organic- inorganic layer can provide the improvement of the mechanical properties of thin films by interposing the organic layer between ceramic layers1,2. The Al2O3 – ZnO laminated layers were deposited using ALD and alucone layer was deposited using MLD technique on the transparent polyimide (TPI) film substrate with various thickness. All TPI specimens were treated with oxygen plasma before thin film deposition to make functional radical species on the surface of TPI3. The mechanical properties of the thin films were measured using a micro-tensile test, nano-scratch test and nano-indentation test. By changing the ratio of organic-inorganic layer thickness and position of organic layer, the optimal condition of the thin films was investigated.

AA-SuP-10 Investigation of Pure Antimony Films Grown by PALD
Bodo Kalkofen, Mindaugas Silinskas, Ramasubramanian Balasubramanian, Bernd Garke (Otto von Guericke University, Germany); Hassan Gargouri (Sentech Instruments, Germany); Edmund Burte (Otto von Guericke University, Germany)

In this work, the deposition kinetics of the growth of pure antimony thin films, the properties of such films and the applicability of Sb films as dopant sources for shallow doping of silicon, germanium, and SiGe were studied. The plasma-assisted atomic layer deposition (PALD) with remote conductively coupled plasma source was carried out for this purpose. Sb[N(CH3)2]3 was used as antimony precursor and hydrogen as reactive and plasma gas.

Different deposition parameters, such as substrate temperature, deposition pressure, precursor injection time, plasma current, sequence and duration of each step were tested in order to find the optimal deposition conditions for stable Sb films that could be further used as antimony dopant sources.

For almost all deposition conditions, the deposited Sb films were mostly stable in the ambient air as confirmed by ellipsometric measurements but showed very thin antimony oxide layer at the top surface after transfer in air to X-ray photoelectron spectroscopy analysis (XPS) (see Fig. 1). Short Ar sputtering removed adventitious carbon contamination from the films as well as the antimony oxide, and pure antimony could be detected by XPS as shown in Fig. 2, where no trace of oxygen was found. Generally, the impurity level of contaminants was below XPS detection level after Ar sputtering. Additionally, the as-grown films were polycrystalline as shown from results of X-ray diffraction analysis in Fig. 3.

View Supplemental Document (pdf)
AA-SuP-11 Fabrication of Hollow Structures Using Plasma Enhanced Atomic Layer Deposition
Masayuki Nakamura, Takayuki Kobayashi, Tatsurou Sagawa, Toshiaki Tatsuta, Shinichi Motoyama (Samco Inc., Japan); Peter Wood (Samco Inc.); Osamu Tsuji (Samco Inc., Japan)

As the dimensions of MEMS and power devices shrink, the atomic-scale control of ultra-thin dielectric film over 3D structures becomes more important. ALD gets a lot of attention because of its pure surface limits reaction and excellent thickness and uniformity control. In this study, we apply conventional TMA and H2O based ALD and N2 plasma enhanced ALD (PEALD) process to deposit AlOx and AlN films over 3D structures, and introduce a new dry process to make AlN hollow structures.

Firstly, we deposited AlOx films over Si substrates. The chemically-treated Si substrates were loaded into a SAMCO AL-1 system, and AlOx films were deposited using TMA and H2O at 250°C. The film thickness was determined by an interference film thickness meter. The thickness increased linearly with the number of cycles at 1.2Å/cycle, which indicates precise thickness control with minimal surface roughness.

Next, we deposited AlOx over a high aspect ratio (~40) trench structure. Trench structures 72µm deep with a scallop size of 84nm were prepared using Si deep RIE processes over a Si substrate. In the SEM cross sections, the upper and bottom film thickness are the same, and uniform step coverage of 84nm scallops can also be observed. Atomic-scale, layer-by-layer processing enables this perfect step coverage.

Finally, we fabricated an AlN dome-shaped hollow structure over a sapphire substrate. MEMS sensors with this kind of hollow structure have been fabricated via wet etching, but it generates a large amount of waste liquid[1][2]. Therefore, we applied the PEALD process, where the alternating supply of TMA and capacitively coupled N2 plasma forms 140 nm thick AlN over the dome-shaped photoresist patterns. After the deposition, 1000°C anneal was performed using a microwave heating system. In the SEM images, there is no photoresist underneath the AlN film, and the dome shapes perfectly trace the original photoresist pattern. The thicker film required longer annealing time, which indicates that the photoresist evaporates via AlN grain boundaries or cracks. This technique enables fabrication of hollow structures with a microscale diameter and nanoscale film thickness without the use of wet processing.

Reference

[1] J. M. Bustillo, R. T. Howe and R. S. Muller: Proc. IEEE 86 1552-74 (1998).

[2] R. Lanz, P. Carazzetti and P. Muralt: Proc. IEEE Ultrasonics Symposium 981 (2002).

View Supplemental Document (pdf)
AA-SuP-12 PALD of Germanium Antimony Tellurium Compounds
Mindaugas Silinskas, Bodo Kalkofen, Ramasubramanian Balasubramanian, Nicole Harmgarth (Otto von Guericke University, Germany); Hassan Gargouri (Sentech Instruments, Germany); Edmund Burte (Otto von Guericke University, Germany)

In this work, the germanium, tellurium, germanium telluride, antimony, antimony telluride and germanium antimony telluride thin films were deposited by plasma-assisted atomic layer deposition (PALD) with remote conductively coupled plasma source. Ge[N(CH3)2]4, Sb[N(CH3)2]3, and C8H18Te2 as well as other new precursors were tested as germanium, antimony, and tellurium sources. Pure hydrogen and its mixture with argon were applied as reactant gas for the plasma.

Various deposition parameters, such as substrate temperature, deposition pressure, precursor injection time, plasma current, sequence and duration of each step and number of the steps were varied in order to characterize the deposition process.

The Investigation of chemical composition shows that the chemical composition of the films can be effectively changed by the changing of the numbers of the precursor steps in the supercycles. Additionally, the impurities content is mostly related to the plasma (hydrogen) step.

X-ray diffraction analysis indicates that crystallinity of the films depends mainly on the compositions of the films but not on the deposition temperature.

AA-SuP-13 Flexible Alucone/Al2O3/Alucone Hybrid Dielectric Layers using in-situ ALD/MLD Techniques
Dong-Won Choi, Seung-Hwan Lee, Jung-Hoon Lee (Hanyang University, Korea); Jin-Seong Park (Hanyang University, Republic of Korea)

Flexible electronics have spread across an expansive area such as fundamental transistors, sensing devices, and flexible Organic light-emitting diode display. One of main issues for flexible electronics is mechanically robust insulator materials to work with flexible substrates and newly emerging semiconductor materials. Most of dielectric materials are based on inorganic materials such as oxide and nitrdie due to its high capacitance, low leakage and high breakdown field property. However, most of inorganic materials are limited for flexible electronic devices because inorganic materials showed brittleness characteristics with mechanical stress. In order to overcome this problem, inorganic/organic hybrid dielectric layers are suggested owing to the its superial mechanical property. However, it is challenging to make uniform pinhole free organic dielectric layer because there is no suitable process and materials. Molecular Layer Deposition (MLD) process might be strong cadidate for uniform phinhole free organic thin film deposition because MLD process can allow accurate thickness control and conformal coverage over the substrate area, accompanied by high film quality at low temperature.

In this study, we investigated dielectric properties of single alucone organic layer using MLD technique, and Al2O3/Alucone/Al2O3 inorganic/organic/inorganic structure using in-situ ALD/MLD process. As a result, the uniform and well aligned inorganic/organic/inorganic structure was fabricated as shown in figure, and suggested hybrid layer exhibited excellent dielectric properties. This presentation will be discussed with I-V, C-V characteristics of single alucone and Al2O3/Alucone/Al2O3 thin films with time after fabrication, and bending stress. Also, FIB, FT-IR, XPS, AES depth profile results and electrical Ca-test measurement will be discussed in order to investigate more detail film properties.

View Supplemental Document (pdf)
AA-SuP-14 Characteristics of Low-k Film at Low Temperature Using SDP System
Minho Cheon, Dong-Yeup Lee (JUSUNG Engineering, Republic of Korea)

As channel length scales further into in the nanometer regime, the parasitic capacitances and series resistance are going to seriously impact the transistor performance. Low-k spacer is a good candidate to minimize parasitic capacitance for high-speed applications.

In this study, we present a newly developed SDP system with a wide range of process temperature by both rotating the substrate and dividing the space area.

We have investigated the characteristics of SiCN and SiOCN thin film composition by reactant gas containing nitrogen like N2, NH3 & doping gas containing oxygen like N2O, O2, etc. and Amine series for Si source at low process temperature ranging from 350℃ to 550℃. We obtained the following results by experiment : SiCN result shows the uniformity less than 1% , step coverage 100% at aspect ratio of 10:1, wet etch rate 0.038Å/sec @100:1 DHF. And Low-k SiOCN result shows the uniformity less than 1% , step coverage 100% at aspect ratio of 10:1, wet etch rate 0.1Å/sec @ 100:1 DHF, Dielectric constant(k) 4.75. In case of Low-k SiOCN obtained condition that the Leakage Current <1.0E-8A/㎠ and substrate on Metal oxidation free, Cl Free.

View Supplemental Document (pdf)
AA-SuP-15 High Quality ALD of Silicon Nitride Films via Microwave Plasma
Kihyun Kim (Samsung Electronics, Republic of Korea); J Provine, Peter Schindler, Fritz Prinz (Stanford University)

Modern integrated circuit processing for DRAM, flash, and logic devices require silicon nitride (SiN) spacer layers with exacting requirements for chemical, physical, and electrical performance. High quality SiN thin films can be achieved by low pressure chemical vapor deposition (LPCVD) at elevated deposition temperatures (>800°C). However, modern device design rules require low thermal budget (<400°C) for these and other applications.

In this abstract, we demonstrate with a custom built PEALD system utilizing a high power (~1kW) microwave plasma source. Utilizing this system, we can achieve composition with low oxygen, carbon, and hydrogen content similar to what is achieved in higher temperature LPCVD reactions.

The dependence of the SiN film properties on processes parameters including plasma power and plasma treatment cycle, are investigated. Specifically, the wet etch rates in dilute hydrofluoric acid (H2O:HF 200:1) with respect to plasma power and treatment cycle times can be varied because of the effects of radicals and ions generated by the plasma source during deposition. Wet etch rates comparable to LPCVD SiN films can be demonstrated at significantly reduced temperature by PEALD. X-ray reflectometry (XRR) and X-ray photoemission spectroscopy (XPS) studies show the higher plasma power and extended plasma treatment cycles can vary the density and the composition of SiN films.

View Supplemental Document (pdf)
AA-SuP-16 Improved Corrosion Resistance and Mechanical Properties of CrN Hard Coatings with an Atomic Layer Deposited Al2O3 Interlayer
Zhixin Wan, So-Won Park, Se-Hun Kwon (Pusan National University, Republic of Korea)

Chromium nitride (CrN) hard coatings have been applied as a replacement for electroplated hard chromium in various applications due to the high hardness, corrosion, wear and anti-oxidation properties. However, the hard coatings present intrinsic defects (columnar structures, pinholes, pores, cracks and discontinuities) that allow contact between the steel and its environment, which can lead to accelerated deterioration through pitting corrosion when substrates are active alloys like steel.

In this work, CrN/Al2O3/CrN multilayered coatings were synthesized by a hybrid process of both high power impulse magnetron sputtering (HIPIMS) and atomic layer deposition (ALD) techniques, aiming to modify the CrN hard coating properties. Detailed studies on the microstructure, surface roughness, mechanical properties and corrosion behaviors, investigated by SEM, XRD, AFM, polarization curves and the hardness indenter, were used to characterize the influence of Al2O3 interlayer addition. The results indicated that the dense Al2O3 interlayer addition lead to a significant decrease of the average grain size and surface roughness, which greatly improved the mechanical properties and corrosion resistance of the CrN coatings. The thickness increasing of the Al2O3 layer and intercalation position altering to be approaching to the coating surface resulted in better mechanical properties and corrosion resistance. The mechanism can be explained by that the dense Al2O3 interlayer played an excellent barrier for dislocation multiplication and diffusion of the corrosive substance.

AA-SuP-17 ALD Thin-Films for Micro-Channel Plate based Detectors
Nitin Deepak (University of Liverpool, UK); Scott Harada, Thomas Conneely (Photek Ltd., UK); Richard Potter (University of Liverpool, UK); James Milnes (Photek Ltd., UK)

Micro-channel plate (MCP) based photon detectors are used for numerous applications such as night vision cameras, x-ray detection, neutron detection, plasma fusion diagnostics, defence applications etc. Fast rise time (<100ps), high gain, stable operation in magnetic fields, and low power consumption have made these detectors attractive candidates for these applications.

An MCP is a highly resistive (10 to 100 mega ohms) thin slab of glass through which millions of pores are etched in a hexagonal pattern. Each pore acts as an amplification channel for electrons impinging on its walls. A gain of 106 can easily be achieved using MCPs. In this work, we have used atomic layer deposition (ALD) to enhance the gain and lifetime of these detectors. ALD is capable of coating 3D surfaces with very high surface areas and we have used this capability for our product development. MCP pores were coated with an Al2O3 layer, using our detector characterization capabilities, we have shown how the growth parameters of Al2O3 thin films can have a dramatic effect on the gain and lifetime characteristics of these detectors. We will discuss the detector failure mechanism and how ALD helps to improve lifetime and boost gain.

AA-SuP-18 The Effect of SiOx/SiNx Multilayer Structure using Low Temperature Plasma Enhanced Atomic Layer Deposition for Gas Diffusion Barrier
Ju-Hwan Han (Hanyang University, Republic of Korea); Chang-Nam Kim, Kwang-Su Lim, Sang-Kyu Lee, Hyun-Chul Choi (LG Display, Republic of Korea); Jin-Seong Park (Hanyang University, Republic of Korea)

Flexible organic light emitting diodes (F-OLEDs) have recently been considered to be the most promising device for next-generation displays.[1] One of main issues for F-OLEDs is to develop the thin film Encapsulation (TFE) technique regarding materials, processes and structures. The technique has been already adopted with plasma enhanced chemical vapor deposition, evapoartion, and ink-jet printing.[2],[3] However, those techniques are struggling with the followin issues : thickness, particle, conformaility, and robust diffusion barrier propety etc.. Atomic layer deposition (ALD) is rapdily emerging as one of suitable deposition methods for thin film deposition since this technique provide excellent conformality, less-particle and very thin diffusion layers.[4] Although there are previously reported with several gas diffusion barrier layers,[5],[6] it was limited to a few materials and structures for TFE applications. In thin study, the SiOx/SiNx multilayer and structure are deposited plasma enhanced ALD even below 100oC, using di-iso propyl aminosilane (SiH3N(C3H7)2, DIPAS) as the Si precursor and N2 plasma and (Ar + O2) plasma as the reactant respectively.As a preliminary result, SiOx/SiNx layer sturcture exhibited about 2 times lower WVTR of 3.79 ´ 10-3 g/m2day at 25oC/50%R.H. than that (7.05 ´ 10-3 and 8.53 ´ 10-3 g/m2day) of the single layer (SiOx and/or SiNx), respectively.

View Supplemental Document (pdf)
AA-SuP-19 Reduced Thermal Conductivity of ALD Synthesized PbTe/PbSe Nanolaminates Grown on Nanopatterned Substrates
Xin Chen (Old Dominion University); Mallory DeCoster (University of Virginia); Pengtao Lin, Kai Zhang (Old Dominion University); Patrick Hopkins (University of Virginia); Helmut Baumgart (Old Dominion University)

The conversion efficiency of the thermoelectric device is related to the dimensionless figure of merit ZT, which is expressed as ZT = S2σT/κ, where S is the Seebeck coefficient, σ is the electrical conductivity, κ is the thermal conductivity, and T is the absolute temperature. Reducing thermal conductivity is one of the powerful strategies to meet the requirement of improving ZT. This can be realized in nanocomposite TE materials, low dimensional structured materials and phononic crystal nanostructures. The reduced thermal conductivity results from phonon scattering by numerous interfaces and phonon-boundary in these structures.

In this study, we investigated the effect of patterned substrates on the thermal properties of ALD deposited PbTe/PbSe nanolaminates (NL). Square porous patterns and stripe patterns were investigated in the work. The thermal conductivity of a series of the PbTe/PbSe NL films with different periods (the thickness of each layer in NL structure) grown on porous and non-porous patterned substrates were measured using Time-Domain Thermoreflectance (TDTR) method. The results indicate the films grown on porous patterned exhibits lower thermal conductivities by nearly a factor of two lower than the ones grown on non-porous substrates, and the thermal conductivity is strongly dependent on the period of the NL structure. This is attributed to phonon boundary scattering. The higher Seebeck coefficient was observed in the porous samples resulting from its lower thermal conductivity. In addition, we use finite element analysis COMSOL to calculate the effective thermal conductivity of the PbSe film grown on stripe patterned substrate as the function of the width of stripe pattern varied from 0.1 to 1 um. It is revealed that the width of stripe pattern plays an important role in reducing the effective thermal conductivity of the film, and the effective thermal conductivity is further reduced by depositing thinner films due to the thin film thickness related to mean free path of the phonon in the deposited films.
AA-SuP-20 The Effect of Titanium Tetrachloride-based Plasma Enhanced ALD TiN on the Threshold Voltage of Gate Last-Like Processed FD-SOI MOSFET with ALD HfO2 Gate Dielectric
Young Jin Kim, Myeong Gyoon Chae, Changhwan Choi (Hanyang University, Korea)

For the reliable CMOSFETs, low and symmetric threshold voltage (Vth) is required, which is typically controlled by dopant implant to poly-Si gate and channel doping into the substrate. This Vth control was limited by metal gate work-function and substrate doping concentration since the poly-Si was replaced by metal gate. This constraint can raise the difficulty of Vth control in the extremely scaled device due to material and process issues (ex. thermal stability, gate etch). Beside the highly or moderately-doped bulk Si, the low doping or intrinsic substrates have been also attractive to the SOI device and TFET for the low power applications. In this case, Vth control becomes more challenging because substrate doping cannot be contributed to decide Vth. Therefore, the selection of metal gate and its relevant process play an important role to attain the multiple Vth.

We demonstrated the possibility of multiple Vth using titanium tetrachloride (TiCl4)-based PEALD TiN within gate last-like processed FDSOI MOSFET with ALD HfO2 system. Reactant gas was used with NH3. The deposition temperature window for ALD process was observed between 250 and 300 oC. From the MOS capacitor, the work-function values are 5.0 and 4.77 eV for HfO2 and SiO2 gate dielectrics, respectively, with negligible EOT and gate leakage current density variation. Increasing TiN thickness leads to higher flatband voltage (VFB) shift up to 350 mV and increased work-function by 0.2 eV. Impacts of deposition temperature, reactant pulse time, and plasma power on the VFB/Vth variation are not significant compared to TiN thickness change. From the FDSOI MOSFET, thicker TiN induces 180 mV Vth shift without remarkable transistor parameter degradation such as subthreshold swing and Ion/Ioff variation. This result could be attributed to more oxygen content within thicker TiN similar to the reported PVD TiN result. TiOxNy (i.e., TiN containing small amount of oxygen) is known to be p-type work-function metal, related to be high work function or high VFB. Another popular ALD TiN precursor, tetrakis-dimethylamido titanium (TDMAT), shows the similar Vth modulation trend. However, it contains the carbon residue within ALD TiN or high-k thin films leading to increased Jg or reliability instability. Our finding indicates that TiCl4-based TiN could be an effective path to attain the multiple Vth by simple thickness change in low-doped substrate for FDSOI FET and TFETs.

[Acknowledgements] This work was supported by the Future Semiconductor Device Technology Development Program (10044842) funded By MOTIE (Ministry of Trade, Industry & Energy) and KSRC (Korea Semiconductor Research Consortium).

AA-SuP-21 Atomic Layer Deposition of Transition Metal Dichalcogenide MoS2 Thin Films
Min Zeng (George Mason University); Kai Zhang, Xin Chen, Pengtao Lin (Old Dominion University); Qiliang Li (George Mason University); Christopher McCleese, Charles Kolodziej, Clemens Burda (Case Western Reserve University); Helmut Baumgart (Old Dominion University)

Transition metal chalcogenide (TMDC) materials, layered 2-dimensional hexagonal structure materials, such as MoS2, MoSe2, WSe2 and WS2 have recently been significantly investigated since a monolayer of these TMDC materials has a direct band gap. The presence of the direct band gap facilitates the TMDC materials in the application of electronic and optoelectronic systems, such as transistors, photodetectors, electroluminescent, and bio-sensing devices. Among these TMDC materials, MoS2 with a direct bandgap of 1.8 eV of monolayer, exhibits not only good thermal stability and high melting point, but also excellent light absorption, fast photo-response and sizeable band gap properties. Therefore, these unique properties make MoS2 a promising material for various electronic devices, photodectors, sensors and catalysis applications [1, 2].

Various efforts have been made to synthesize MoS2 films with a few monolayers on different substrates in recent years, for instance, exfoliation, hydrothermal synthesis, pulsed laser deposition (PLD), physical vapor deposition, chemical vapor deposition (CVD). However, very little is known about atomic layer deposition (ALD) synthesis of MoS2 films. ALD technique exhibits self-limiting atomic layer reactions in each introduced ALD cycle. It can accurately control film layer thickness stoichiometry, composition, uniformity, and sharp interface. Furthermore, ALD also can be used to deposit conformal film onto very complex structures.

Here we report on a large-area synthesis of MoS2 films on various substrates by ALD system using Molybdenum hexacarbonyl (Mo(CO)6) and dimethyldisulfide (CH3SSCH3, DMDS) as the chemical ALD precursors for Molybdenum and Sulfur, respectively. The as-prepared MoS2 thin films exhibit amorphous phase. Post annealing of the as-deposited MoS2 thin film at high temperature in the Sulfur atmosphere facilitated the amorphous films was crystallized. The Raman spectroscopy analysis show the MoS2 thin films demonstrate the characteristic of E12g and A1g Raman modes. Seebeck Coefficient results for MoS2 thin film indicates n-type semiconductor due to the Seebeck Coefficient being negative. The absorption spectra and transient absorption spectra for the ALD MoS2 thin films at a pump-probe delay of various time were also presented.

References:

1. Z. Jin, S. Shin, D. Kwon, S. Han and Y. Min, Nanoscale 6, 14453 (2014).

2. L. Tan, B. Liu, J. Teng, S. Guo, H. Lowd and K. Loh, Nanoscale 6, 10584 (2014).

AA-SuP-22 The Impact on GaN MOS Capacitor Performance of in-situ Processing in a Clustered ALD/ICP/RIE Tool
Dilini Hemakumara, Xu Li, Sung Cho, Konstantinos Floros (University of Glasgow, UK); Ivor Guiney (University of Cambridge, UK); David Moran (University of Glasgow, UK); Colin Humphreys (University of Cambridge, UK); Aileen O'Mahony, Harm Knoops (Oxford Instruments Plasma Technology, UK); Iain Thayne (University of Glasgow, UK)

We report a route to the realization of GaN/Al2O3 MOS-capacitors (MOSCAPs), which avoids air exposure of the GaN surface by utilizing in-situ deposition of SiNx as the final part of substrate growth. Subsequently, processing was performed in a clustered plasma etch and atomic layer deposition (ALD) tool to avoid air exposure of the gate oxide. The optimized process described in this work enabled a ~4x reduction in flatband voltage hysteresis, indicative of a significantly improved GaN-Al2O3 interface as a consequence of only exposing the GaN surface to process gases and plasmas in the cluster tool. In addition, using an in-situ ALD deposited TiN gate metal after Al2O3 dielectric deposition resulted in both a 37% increase in accumulation capacitance and a 73% increase in maximum rate of change of capacitance with voltage (dC/dV) indicative of an improved metal/dielectric interface as a consequence of not exposing the Al2O3 to atmosphere.

The Metal Organic Chemical Vapour Deposition grown wafer of this study included an n-doped GaN layer which was capped with 5nm SiNx. The SiNx capped samples were first etched using reactive ion etching (RIE) in an SF6 plasma (50 W, 50mT, 45 s) in the etch chamber of the cluster tool before transfer under vacuum to the ALD chamber where, following an N2 plasma treatment (5 mins 150 W), 20nm of Al2O3 was deposited using a 2000C thermal TMA/H2O process. The effects of an in-situ metal gate was quantified with a process split using an ALD deposited TiN before ex-situ Au-based contact metal deposition. The completed MOSCAP structures with ex-situ grown Pt/Au gates were first evaluated by room temperature 1 MHz capacitance-voltage measurement. This comprised a voltage sweep from -5V to +5V and then back to ‑5V, enabling the determination of flatband voltage hysteresis. A 60mV hysteresis was attained, which is significantly lower than in [1] where 250mV was reported for MOSCAPs without an in-situ SiNx capping layer.

Further, comparison between the 20nm in-situ deposited TiN MOSCAP at 3500C using TDMAT and N2 and H2 plasma and ex-situ Pt/Au gates depicted an increase in both the accumulation capacitance from 0.32μF/cm2 to 0.44μF/cm2 and the maximum rate of change of capacitance with voltage from 0.149μF/cm2V to 0.259μF/cm2V.

These results highlight the advantages of processing gate stacks for GaN MOSFETs in a cluster tool, and the importance of avoiding air exposure of both the GaN and Al2O3 surfaces.

This work is supported by UK EPSRC project “Silicon Compatible GaN Power Electronics” EP/K014471/1.

[1] S. J. Cho, et al., Microelectron. Eng.147, pp277-280 (2015) View Supplemental Document (pdf)
AA-SuP-23 Plasma-Enhanced ALD of Dielectrics on Aluminum and in-situ FUV Spectroscopy
Brianna Eller, Robert Nemanich, Paul Scowen (Arizona State University)

It is being recognized that a veritable revolution is about to happen in astronomical diagnostic work for targets ranging from protostellar and protoplanetary systems, to the intergalactic medium that feeds gas supplies for galactic star formation, to the most distant of objects in the early universe. These diagnostics are rooted in access to a forest of emission and absorption lines in the far ultraviolet (FUV) and near ultraviolet (NUV) passbands. Dielectric protected aluminum surfaces with low flatness and minimal oxide are the surfaces of choice for space-based telescopes.In light of these challenges, our work uses plasma-enhanced ALD (PEALD) to implement stable protective overcoats which can achieve high UV reflectivity and unprecedented uniformity to leverage innovative ultraviolet/optical filter construction. In particular, our PEALD system is coupled by UHV transfer to a custom UV reflectivity system that enables in situ reflectivity measurements to 150 nm and ultimately below 90 nm wavelengths. More specifically, we will use a range of metal fluoride layers on aluminum mirrors, where all processes are enabled by PEALD. Our designs indicate that by using PEALD, we can further reduce adsorption and scattering in the optical films as a result of the lower concentration of impurities and increased control over the stoichiometry to produce vastly superior quality and performance. In the initial stage of the project, PEALD aluminum oxide films on aluminum have been used as a proof of concept giving good reflectivity to 180 nm as characterized by the in-situ FUV measurements. Future work will utilize a custom PEALD system for aluminum and metal fluorides layers, incorporating plasma steps into the process to enable additional control of the film properties. These capabilities will allow us to push the blue edge in usable UV reflectivity of protected aluminum well below the current 115 nm limit.

This research is supported by NASA through grant NNX16AC30G.

AA-SuP-24 ALD on Textiles for Wearable Electronics
Wade Ingram, Jess Jur (North Carolina State University)

Textiles are low-cost, lightweight, flexible, and have a high surface area that when processed using ALD, can be conformally coated with a wide range of thin film materials commonly used in microelectronics. Current research of ALD on textile substrates has shown many applications in textile-inspired wearable electronics1. Research has demonstrated ZnO and TiO2 thin film growth on nylon6 in conjunction with UV-photodeposition of Ag nanoparticles (NPs) to create conductive channels on the textile2. Ag NPs are deposited from an aqueous solution of AgNO3 onto the surface of the ZnO coated fibers through a redox reaction, bonding the NPs to the surface. This method is transferable to many redox reactions including Fe, Au, Cr or As. Our team’s prior research has demonstrated that this can be done using a UV lamp flood exposure for non-specific deposition or designed patterns using a mask, or a UV laser that is translated across the textile mat. While the results show unique electronic functionalization of the textiles, the metal particulate films are dependent on the microstructure and surface energy of ALD thin film coating2. An additional challenge is observed in the formation of cracking in the ALD films at the fiber level.

In this work, the mechanism of ALD thin film cracking on the ZnO and TiO2 thin films based on the ALD thermal conditions and the subsequent influence of the coating properties on the UV-photodeposition of metallic coatings. Specifically, cylindrical polymer fibers are compared to planar films demonstrate the influence of additional degree of freedom for thermal expansion of the fiber form. The thermal cycling of the ALD process results in expansion and contraction of the fiber, forcing the ALD film to contact in parallel, forming cracks and ridges. Reducing the ZnO and TiO2 deposition temperature results in a change in surface texture of the film, in turn affecting the growth of UV-photodeposited materials.

[1] A.H. Brozena, C.J. Oldham, and G.N. Parsons, "Atomic layer deposition on polymer fibers and fabrics for multifunctional and electronic textiles," Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol. 34, no. 1, p. 010801, Dec. 2015.

[2] J.C. Halbur, R.P. Padbury, and J.S. Jur, "Silver decorated polymer supported semiconductor thin films by UV aided metalized laser printing," Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol. 34, no. 3, p. 031402, Apr. 2016.

AA-SuP-25 Enhancement of ZnO Nanorod Gas Sensors with AZO Nanolaminate Coating by Atomic Layer Deposition
Pengtao Lin, Xin Chen, Kai Zhang, Helmut Baumgart (Old Dominion University)

Metal Oxide Semiconductor (MOS) gas sensors have been paid significantly attentions as a proving material for detection of volatile and toxic gases. Among various gas sensors based on suitable semiconductor materials, ZnO has been highly investigated for its electronic and photonic applications due to its low cost, good electrical conductivity, wide band gape of 3.37 eV, ~60 meV large exciton binding energy. Currently gas sensors based on ZnO nanorods have been widely investigated due to their high electrochemical stability, nontoxicity, high surface-to-volume ratios, suitable doping. Especially, Al doped ZnO (AZO) thin film coatings were synthesized on the surface of ZnO nanorods by ALD to enhance the sensing performance of ZnO nanorod gas sensors.

In this study, the ZnO seed layers used for hydrothermal growth and the AZO coating for ZnO nanorod gas sensor were synthesized by Atomic Layer Deposition (ALD). After the ALD synthesis of the ZnO seed layers, the ZnO nanorods were grown by hydrothermal technique in the solution with dissolving hexahydrate (Zn(NO3)2·6H2O) and hexamethylenetetramine ((CH2)6N4) in 60 ml DI water at 80 oC for 16 hours. The final step is to coat the surface of the synthesized ZnO nanorods with Al doped ZnO thin films by ALD.

A gas sensor testing system was designed with a sealed reaction chamber to investigate the sensing performance of ZnO nanorod gas sensor to Ethanol vapor under various temperatures. The physical change of ZnO gas sensor to Ethanol vapor is resistance. Therefore, the mechanism of this testing system is to indicate the resistance changes of ZnO nanorod gas sensor after introduced Ethanol vapor. A Resistance Temperature Detector (RTD) was introduced to indicate the temperature in the reaction chamber. Also, the sensing responses of ZnO nanorod gas sensor to various volumes of Ethanol vapor were indicated by measuring the resistance changes of ZnO nanorods gas sensor. An interface was designed by LabView to indicate and record the real-time values of the circuit current, voltage on reference resistor, voltage on ZnO gas sensor, resistance of ZnO gas sensor, and the temperature of the testing chamber.

AA-SuP-26 A Comparison Study of Atomic Layer Deposition (ALD) of Ceria (CeO2) Films using Ce(iPrCp)2 (iPr-amd) and Ce(iPrCp)3 Precursors
Maryam Golalikhani, Peter Van Buskirk, Jeffrey Roeder (Sonata LLC)

CVD side reactions during the atomic layer deposition of thin films play an important role in the uniformity of deposited films. In-situ quartz crystal microbalance and time-resolved quadrupole mass spectroscopy were used for real-time investigation of growth characteristics and deposition kinetics during ALD of CeO2 thin films. The effect of growth parameters on CVD-like reaction are compared for liquid heteroleptic Ce(iPrCp)2 (iPr-amd ) and solid Ce(iPrCp)3 as precursors using water as the oxygen source.

AA-SuP-27 Theoretical Study of Si-N Film Atomic Layer Deposition Mechanism with Hydrazine and Diclorosilane Precursors and their Derivatives
Alexander Goldberg, Mathew Halls, Hyunwook Kwak, Thomas Seidel (Schrodinger, Inc.)

Silicon Nitride (SiN) films possess exceptional thermochemical properties and they have been widely used in microelectronics. Recently several group investigated Atomic Layer Deposition (ALD) of silicon nitride films applying silane (SiH4) and ammonia (NH3) as precursors. In this work, we determine preferred silane precursors derivatives for ALD SiN growth using hydrazine (N2H4). These precursors react with the underlying substrate by adding Si and then N using N2H4 or NH3. The study is based on the Density Functional Theory (DFT) where surface was approximated by a cluster model. Different cluster models representing Si-H and N-H surface termination were considered. The reaction mechanism, including activation barriers and reaction energies, was studied. Applying high-throughput approach over various derivatives of silane, such as dichlorosilane, tetrachlorosilane and dichlorosilane, as well as a number of commercially available precursors with organic ligands such as SiCl2(CH3)2 (by Gelest) and Cl3SiCH3 (by Sigma Aldrich) were examined for the first reaction step of adding Si to the SiN surface. Comparative analysis of the obtained energy data between N2H4 and NH3 was made. We found that hydrazine is thermodynamically preferred precursor compared to ammonia. The work also revealed several candidates that have not been widely known or studied in the commercial ALD precursor industry.

AA-SuP-28 Nucleation and Growth Characterization of Metallic Ruthenium Films Grown by PEALD on Surface Treated Si (100) at Low Substrate Temperatures
Nicholas Strnad (University of Maryland); Gilbert Rayner (The Kurt J. Lesker Company); Daniel Potrepka (U.S. Army Research Laboratory); Bangzhi Liu (The Pennsylvania State University); James Pattison (University of Maryland); Manuel Rivas (University of Connecticut); Ronald Polcawich (U.S. Army Research Laboratory)

Ruthenium metal has been given significant attention in the ALD community for its high conductivity, high density, high melting point and compatibility with microelectronic devices. The majority of ruthenium metal ALD studies have been carried out at substrate temperatures between 175°C to 250°C. Recently the Air Liquide precursor ToRuSTM has been used to grow ALD ruthenium at substrate temperatures as low as 100° C with near-bulk values of resistivity and extremely low carbon impurity levels, while PEALD ruthenium films were grown at even lower temperatures. Novel electronic devices with flexible polymer substrates could utilize the low-temperature ToRuSTM ALD process to achieve conformal metallization. Although significant work has been done to investigate the effect of the starting surface on the nucleation of Ru islands for conventional processes with higher substrate temperatures, little work has been done in that regard for the low temperature ToRuSTM process.

We demonstrate a baseline PEALD process on a Kurt J. Lesker Company, Inc. ALD 150-LX platform using ToRuSTM and plasma enhanced H2 as co-reactants on a variety of readily available ALD-grown dielectric and metallic surfaces deposited on 150mm Si(100) substrates. Examples include TiOx, HfOx, AlOx, TiN and AlOxNx. The substrate temperature for the ruthenium growth was varied between 50°C to 100°C. In-situ, dynamic spectroscopic ellipsometer data were collected with a J.A. Woollam Co. M-2000 through inert gas purged optical viewports. Wafer-scale characterization such as thickness measured by x-ray reflectivity, thickness measured by field emission scanning electron microscopy, and sheet resistance uniformity measured by four-point probe are presented. Additionally, surface roughness measurements performed by atomic-force microscopy are tabulated. View Supplemental Document (pdf)
AA-SuP-29 Challenge the Productivity of ALD for High Volume Manufacturing of High Efficiency Solar Cells
Wei-Min Li, Xiang Li (Jiangsu Leadmicro Nano-Equipment Technology Ltd., P.R. China)

High efficiency silicon solar cells are increasingly adopted by manufacturers worldwide with call for more advanced process technology and higher productivity. In particular, recent development of PERC and PERT/PERL solar cells are expected to dominant the market growth1.The passivation layers used are predominantly Al2O3 thin film, while ALD is favored over PECVD technology due to its precise thickness control and excellent passivation quality2. HVM that meets the required throughput and uptime are still challenges with existing commercial products.

Recent advance in batch thermal ALD reactor design in combination with customized automation have enabled a breakthrough for HVM of PERC/PERL/PERT cells. The ALD system features two reaction chambers with each upholds 1600 wafers per batch. It takes as fast as 10s to complete an ALD cycle for a fully charged batch of Al2O3 deposition. Integrated with state-of-art high speed automation, high throughput at above 4000 wafers per hour has been achieved for a 10 nm Al2O3 passivation layer. The thin film thickness uniformity is excellent, well below 2% in range. Furthermore, TMA consumption is incredibly low, at below 1 mg/wafer with fully charged batch. A proprietary cassette design can efficiently reduce the so-called wrap around effect to minimum to address the need of mono-facial passivation.

The production results have proven that batch ALD reactor is an excellent choice for high efficiency solar cell manufacturing. With ALD Al2O3 passivation a conversion efficiency of near 22% has been achieved. A further improvement can be expected with the advancement of commercial screen printing paste that is tailored for firing step with Al2O3 passivation layer. A study of contact resistance of a novel Ag paste used on a stack of Si/Sin+/SiNx/Al2O3 after co-firing showed that ALD layers with a thickness up to 5 nm has positive effect on the contact resistance with lowered firing temperature, at mean time, the optimum temperature window appears wider in comparison to the control, indicating a further improvement on cell conversion efficiency is possible3.

In conclusion, a commercial available, fully automatic batch thermal ALD system has shown superior productivity. While an increase of conversion efficiency can be achieved for high efficiency solar cell manufacturing, the cost-of-ownership is also significantly reduced. With continuous improvement of materials used and process integration, further enhancement for high efficiency solar cells are expected.

References

[1] ITRPV, 2016

[2] Hoex, B., et al., Appl. Phys. Lett. 91 p112107, 2017

[3] Hoex, B., et al., 7th Int. Conf. Crystalline Silicon Photovoltaics, 2017

AA-SuP-30 Role of Cu in ALD Grown Cu:ZnS p-type Transparent Conductor
Shaibal Sarkar, Neha Mahuli (Indian Institute of Technology Bombay, India)

Cu:ZnS films are grown using a custom built laminar flow type ALD reactor equipped with in-situ characterizations like quartz crystal microbalance (QCM), Fourier transform infra-red spectroscopy (FTIR) and electrical resistivity measurements. Diethylzinc (DEZ) and Cu(II) hexafluoropentanedionate (Cu(hfac)2) along with Hydrogen sulfide (H2S) are used as metal and chalcogen precursors respectively. A single cycle of CuS is stacked in-between ‘n’ cycles of ZnS where ZnS cycles are varied to achieve variable copper incorporation in ZnS. In-situ QCM and FTIR characterizations indicate the probable growth mechanism involved in these multi-stacked films.

Controlled conductivity is achieved by varying Cu concentration in the stack however that results decerease in transparency in the visible regime. For optimised case with highest conductivity and resonably transmission is achieved with CuS:ZnS = 1:80.

In this presentation I would like to elucidate the role of Cu in the crystallographic, electronic and optical properties of this unique material. At lower dopant concentration, no strain in the lattice is observed however increased conductivity is highly evident. Dopant segregation is observed at relatively higher Cu concentrations revealing its relative low solubility in the host lattice.

The role of the dopant in transport mechanism is the biggest question. A combination of temperature dependent electrical measurements supported by EXAFS, XENS and XAS measurements are performed to establish our hypothesis on the role of the Cu in the hole transport properties of the Cu:ZnS films.

AA-SuP-31 In-situIinvestigation of Electrical Properties in Cu Incorporated ZnS Thin Films Grown by Atomic Layer Deposition
Debabrata Saha, Shaibal Sarkar (Indian Institute of Technology Bombay, India)

Thin films of transparent conducting semiconductors (TCSs) are extensively used as electrodes in numerous technological applications such as solar cells, light emitting diodes, flat panel displays etc. Commercially available TCSs are mostly n-type conducting. However, to realize transparent electronics using bi-polar junction devices, growth of p-type TCSs are essential. There are only few metal oxides which are reported to be p-type TCSs such as binary oxides (NiO, doped ZnO:N/As/P) and ternary delafossites (CuMO2; M = Cr, Al, Ga, In). However, high hole conductivity, decent optical transparency along with stable and reproducible electrical properties cannot be realized in these p-type TCSs.

Recently we have demonstrated growth of p-type Cu alloyed ZnS thin films at low deposition temperature of 1500C using a flow-type thermal atomic layer deposition (ALD) reactor. We have achieved thin film resistivity as low as ca. 6x10-3 Ω cm with an average optical transmittance > 85% in the visible spectral range. These values are significantly superior compared to the widely investigated p-type metal oxide thin films. All the films are grown by alternate vertically stacking n-cycles of ZnS and 1 cycle of CuxS.

In this presentation, I elucidate in-situ measurements of the electrical properties during the film growth to gain fundamental insights into the dopant incorporation, activation and diffusion processes. The role of the Cu interlayer deposition and its temperature and time dependent diffusion process that evolves out from these measurements will be discussed in detail. The results of such study are highly useful to grow ultra-thin p-type TCSs with desired electrical and chemical compositional properties.
AA-SuP-32 All ALD Hybrid Photoelectrochemical Systems Based on Self-Organized TiO2 Nanotubes Coated with Chalcogenides
Jan Macák (University of Pardubice, Czech Republic)

Even though, the overall efficiencies of advanced photovoltaic devices (amorphous Si-H, CIGS, CdTe, perovskite cells) have grown up significantly, there is so far no solar cell that would have reliable stability and performance over many years of the cell service, that would be cheap, environmentally reasonable and potentially flexible . One of most competing technologies to silicon solar cells, when considering the efficiency, low-cost production and stability is based on thin films of semiconducting chalcogenides, such as Cu(In,Ga)Se2 (CIGS) and Cu2ZnSn(Se,S)4 (CZTS). Both became recently materials of the choice as they represent in thin film solar cells chromophores of adjustable band gaps, good radiation stability and high optical absorption coefficient.

For solution processed CIGS and CZTS thin film PVs cells, however, the limiting factors for further enhancement of the conversion efficiency involve the shape, size and grain boundaries of the chromophore films. The film morphology, defects and character of the grain boundaries predetermine the mobility (the loss) of free carriers in the chromophore film resulting in conversion efficiency maximum beyond ~11 % for CZTS materials and multilayer solar cell design.

A promising pathway to improve the carrier mobility of semiconducting chalcogenides to the highest possible level is to use hybrid photocells employing a highly ordered TiO2 nanotube film /chromophore interface . However, the major issue to extend the functional range of nanotubes is to coat homogenously tube interiors by semiconducting chalcogenides in order to achieve the best possible contact of both components on their interface. This is especially crucial when high aspect ratio semiconducting TiO2 nanotube arrays are utilized and thus the Atomic Layer Deposition technique becomes beneficial.

The presentation will show initial photo-electrochemical results for anodic TiO2 nanotubes employed as highly ordered electron-conductive supports for host materials coated using ALD with secondary materials to enhance light absorbing capabilities of such hybrid systems. We will focus on all ALD photo-electrochemical devices based on inorganic chalcogenides .

AA-SuP-33 Electrical, Optical and Mechanical Properties of ALD-Ti doped ZnO Thin Films prepared on Corning® Willow® Glass for the Application of Flexible Transparent Oxide Substrate
Woo-Jae Lee, Changmin Kim, YangDo Kim, Se-Hun Kwon (Pusan National University, Republic of Korea)

Flexible devices have received considerable attention for their applications of electronics, displays, solar cells, and etc. And, flexible transparent conducting oxide(TCO) substrate is one of the most important parts for those flexible devices. In most studies, various kinds of polymer materials have been generally adopted as a flexible substrate for TCO thin films. However, those polymer materials has their inherent demerits of low thermal stability, which limits the growth temperature of the post-deposition processes and degrades the electrical and optical properties of TCO thin films. On the other hand, recently developed Corning® Willow® Glass, known as flexible glass substrate, has attracted much attention due to its many advantages such as reliable mass-production friendly roll-to-roll manufacturing process, its high flexibility and high thermal stability.

Herein, we investigated the electrical, optical, and mechanical properties of ALD-Ti doped ZnO transparent conducting oxide on the Corning® Willow® Glass for the application of flexbile transparent oxide substrate. It was very important to optimize the thickness of ALD-Ti doped ZnO to realize adequate electrical and optical properties without degrading its flexibility.

AA-SuP-34 Surface Passivation of Nanoparticle via Rotation Fluidization Coupled Atomic Layer Deposition Reactor
Kai Qu, Chenlong Duan, Kun Cao (Huazhong University of Science and Technology, China); Rong Chen (Huazhong University of Science and Technology, P.R. China)

Atomic layer deposition (ALD) is an attractive approach for atomically controllable and conformal coatings on nanoparticles (NPs) for the fields of catalysts, optical detections, biomedicines, etc. There have been many kinds of ALD reactors for particles. Some of these designs are static reactors which rely on long time precursor diffusion to coat particles. Fluidized bed reactors utilize gas flow to disperse nanoparticles for enhanced gas-solid interactions, though obtaining steady fluidization of nanoparticles and limited precursor residence time are challenges. Rotary reactors disperse particles through rotary agitation and increase precursor usage by a static exposure stage.

In this talk, a rotation fluidization coupled atomic layer deposition reactor will be introduced. In the deposition procedure, the coating process could be expedited due to the enlarged and homogenized void fraction in the particle bed, large gas distribution area and higher particle concentration in the rotating fluidized bed. The rotation not only enhances the gas-solid interactions to stabilize fluidization, but also provides large centrifugal force to break up soft agglomerates together with the fluid drag force and the inter-particle collision. Under high precursor feed rate, the precursor utilization was improved to nearly 100% with thicker rotating bed. As an example, magnetic Fe3O4 nanoparticles have been uniformly coated with ultrathin Al2O3 passivation layers. With 5 nm coating layer, the nanoparticle could be stable under oxidation resistance with minimum magnetization loss (less than 10%). This is quite attractive in practical magnetic based biomedical applications. Well controllable amorphous Al2O3 layers were also deposited on crystalline AlH3 particles. The Al2O3 layers acted as physical barriers to prevent the particles from erosion by water and oxygen in ambient conditions, encapsulating hydrogen capacity nearly 4 times higher than the uncoated ones after hydrothermal aging. The oxide layers also served as thermal insulators to retard friction heat transferring to α-AlH3 cores, as thin as 7 Å oxide layer on Al nanoparticles could effectively prevent them from reacting with hot water. All these examples show that ALD has a great potential as an effective nanoparticle surface passivation method.

AA-SuP-35 Atomic Layer Deposition of Doped Zinc Oxide as an Alternative to Fluorine Doped Tin Oxide for Transparent Contacts in Perovskite Solar Cells
Louise Ryan (Tyndall National Institute, Ireland); Adrian Walsh, Melissa McCarthy (Tyndall National Institute, University College Cork, Ireland); Scott Monaghan, Mircea Mondreanu (Tyndall National Institute, Ireland); Shane O'Brien, Martyn Pemble, Ian Povey (Tyndall National Institute, University College Cork, Ireland)

Inorganic halide based perovskite solar cells have attracted attention due to their good absorption properties both in the visible and infrared electromagnetic spectrum and their good conversion efficiencies. However, problems can still be seen when an attempt to scale up is made, observing difficulties with reliability and traces of lead still remaining in the films. As a result, more work is required to solve these issues. As the demand for high conversion efficiencies of solar cells increases, there is an increase in the likelihood of the perovskite cells to be incorporated into a tandem solar cell in which the complex structure cannot be exposed to temperatures in excess of 200oC. Due to this requirement, each layer of the tandem must be studied, in particular the transparent conducting oxide layer, which, to date uses fluorine-tin oxide (FTO) which requires deposition at temperatures >400oC to produce good quality films. Zinc oxide (ZnO) is a possible replacement (band gap 3.37eV) which can be deposited by atomic layer deposition (ALD) at low temperatures (<200oC) while retaining its high transparency. However, undoped ALD ZnO does not exhibit a carrier concentration sufficient for this application. This work investigates how doping ZnO with Al2O3, HfO2 and TiO2 changes its properties for use as a TCO. Thin films (60-600nm) were deposited by ALD at temperatures ranging from 120-240oC. The properties of the films are discussed according to their suitability as a TCO in single junction perovskite solar cells and silicon-perovskite tandem solar cells.

AA-SuP-36 Oxides Nanomembranes Deposited by Atomic Layer Deposition for Lithium Ion Batteries
Gaoshan Huang, Yuting Zhao, Yongfeng Mei (Fudan University, China)

Intensive exploration have been focused on discovering potential materials and fabrication techniques for superior safe and stable lithium ion batteries because of the increasing importance of battery technology as an environmentally benign power source. We have synthesized oxides nanomembranes via atomic layer deposition (ALD) using sponge template. In the process of ALD on sponge, a uniform nanomembrane was coated on all exposed surfaces of the template, and was then disrupted into numerous pieces of nanomembranes when crushing the porous structure after removal of the sponge by high temperature post-treatment. This ALD-based strategy provides remarkable advantages: (1) precise control of thickness by simply changing deposition cycles; (2) high throughputs and yields; (3) ability of synthesizing various functional materials. Here, TiO2 and ZnO nanomembranes synthesized by this approach exhibits good performance (high reversible capacity and superior cyclability) as anode materials of lithium ion batteries. For TiO2 nanomembranes, no degradation was observed after 80 discharge/charge cycles at various current densities and following 500 long cycles at 1 C. The enhanced performance is then ascribed to the flexibility of the nanomembranes which can effectively accommodate the volume changes/strain during charging/discharging. In addition, we noticed that the nanomembranes thickness showed significant influence on both bulk and capacitive capacities.Detailed voltammetric analyses were conducted to reveal the mechanism. Precisely controllable synthesis in this approach can flexibly adjust both bulk and surface Li storage and thus has important application potential.

View Supplemental Document (pdf)
AA-SuP-37 Enhanced Photoelectrochemical Efficiency of Self-Organized TiO Nanotubes Films due to Secondary Materials
Jan Macák (University of Pardubice, Czech Republic)

Considerable scientific and technological interest has been focused on self-organized TiO2 nanotube layers over the past 10 years motivated by their unique architecture, high surface area, semiconductive properties and biocompatibility. In addition, 1D TiO2 nanotube layers are synthesized by a low-cost electrochemical anodization of Ti. All these features enabled utilization of TiO2 nanotube layers in a wide number of applications such as photo-catalysis, solar cells, hydrogen generation and biomedical uses [1] . However, the main shortcoming of TiO2 is its limited absorption to the UV light (wavelengths < 390 nm). In order to extend the absorption spectra range into the visible light, TiO2 has been doped by N [2] or C [3].

Another promising approach to extend the functional range of TiO2 nanotubes is the coating of the tube interiors with secondary materials. Additional ultrathin surface coating of TiO2 by secondary materials such as Al2O3 [4], ZnO [5] or MgO [6] have been demonstrated to annihilate electron traps at the TiO2 surface and thus increase the photogenerated concentration of charge carriers. Recently, it has been demonstrated that just a single cycle of Al2O3 [7] or ZnO [5] deposited by atomic layer deposition (ALD) efficiently improve charge transport properties of the heterostructure while gradual passivation appears with increasing ZnO thickness due to stronger band-bending [5].

The presentation will focus in detail on the unique ability of ALD to coat high aspect-ratio TiO2 nanotube arrays by secondary materials. The resulting composite nanotubular structures revealed synergic effects, improving strongly photo-electrochemical properties of nanotube films. Experimental details and some very recent photocatalytic [8] results will be presented and discussed.

References:

[1] J. M. Macak et al., Curr. Opin. Solid State Mater. Sci. 1-2 (2007) 3.

[2] C. Burda et al., Nano Lett. 3 (2003) 1049.

[3] S. Sakthivel et al., Angew. Chem., Int. Ed. 42 (2003) 4908.

[4] R. Zazpe et al, Langmuir 32 (2016), 10551–10558.

[5] A. Ghobadi et al., Scientific Reports 6 (2016) 30587

[6] H. Park, et al., Journal of Electroceramics 23 (2009) 146.

[7] J-Y. Kim et al., Nanotechnology 25 (2014) 504003 .

[8] M. Krbal et al., Ms submitted.

AA-SuP-38 Atomic Layer Deposition of Ru and RuO2 on Powder
Jaehong Yoon (Yonsei University, Korea); Younghak Lee (Incheon National University); Hyungjun Kim (Yonsei University, Republic of Korea); Han-Bo-Ram Lee (Incheon National University, Republic of Korea)

Ruthenium (Ru) is one of noble metals with good thermal and chemical stability, low resistivity (7.1 μΩcm), and large work function. In addition, its oxide, RuO2, has also attractive properties, such as low resistivity (35 μΩcm) and good chemical stability. So Both of Ru and RuO2 have received many attentions since those have potentials for many applications in catalysts of electrochemical devices and electrodes of secondary batteries. In order to maximize the performance, nanostructuring of materials from 2D to 3D is important to increase surface to volume ratio. In particular, core shell particles composed of earth-abundant core with an atomically thin shell is advantageous in the aspect of surface volume ratio as well as material cost. In this study, cheap SiO2 nanoparticles were uniformly coated with Ru and RuO2 by atomic layer deposition (ALD). For ALD on nanoparticles instead of planar substrate, a novel reactor designed for ALD on powder was employed. The reactor was composed of a rotational drum which has two mesh filtered faces for infiltration of precursor and reactant. The phase and microstructure between Ru and RuO2 were controlled by varying exposure time of Ru precursor and O2 counter reactant. The thickness uniformity and growth characteristics of ALD Ru and RuO2 were systematically investigated by changing various parameters, such as rotational speed and size of SiO2 nanoparticles.

AA-SuP-39 Plasma-free Atomic Layer Deposition of Nickel and Nickel-based Alloy Thin Films for Nickel Silicide
Shunichi Nabeya, Soonyoung Jung, Soo-Hyun Kim (Yeungnam University, Republic of Korea)

Metal silicides are silicon compounds with metals, and they have low resistivity like metals as well as good compatibility with Si and metals. So,metal silicides, which has been formed by metal deposition on Si followed by post silicidation annealing, have been used for contact materials in Si devices. TiSi2 have been widely used for contacts, however NiSi and CoSi2 are applied to sub-100 nm Si devices since TiSi2 shows narrow line width effect that is increase in TiSi2 resistivity with decreasing linewidth. In order to overcome limitations of current Si devices in downscaling, emerging nanodevices have been intensively studied, and their structures are moved from 2D planar to 3D structure. So, the schemes of contact fabrication used for 2D devices are changed in 3D emerging nanodevices, and conformality of metal thin films is the most important requirement to be achieved. In view of this, ALD is a good alternative to conventional PVD techniques for fabricating the silicide contact. Earlier, ALD Ni films was deposited using rather complex steps, such as the formation of NiO ALD using Ni(Cp)2 and H2O followed by H2 plasma reduction step due to the lack of a suitable Ni precursor and reducing agent. It was reported that the Ni film could be grown by thermal ALD using Ni(dmamb)2 and H2 or NH3 molecules but its properties were not good as compared to those of plasma enhanced ALD (PEALD) Ni film prepared by using NH3 plasma and the same Ni precursor. Despite this, the conformality of a plasma-based process onto high AR structures is rather limited due to the possible recombination of reactive radicals and development on a suitable thermal ALD Ni process is needed. In this study, a plasma-free new thermal ALD Ni process was proposed by introducing a novel Ni precursor and molecular H2 or NH3 as reactants at the temperatures ranging from 300 to 350 oC. The properties of as-deposited ALD-Ni films were characterized using various tools such as XRD, RBS, XPS, 4-point probe, TEM. We also evaluated the silicidation behavior of thermal ALD-Ni film after post annealing using TEM analysis. Finally, to improve the thermal stability of ALD-Ni film, the novel metal, which was also prepared by ALD, was incorporated into ALD-Ni films.

AA-SuP-40 Room Temperature Atomic Layer Depostion of AlxSi1-xO and its Application for Dye Sensitized Solar Cells
Takahiro Imai, Kensaku Kanomata, Masanori Miura, Bashir Ahmmad, Shigeru Kubota, Fumihiko Hirose (Yamagata University, Japan)

Zeolites are alminosilicates containing minerals, used as adsorbents of ions in polluted water. We are investigating the applicability of zeolites for dye sensitized cells as the dye absorber on the TiO2 electrodes. In this study, we developed AlxSi1-xO atomic layer deposition by using the room temperature technique, where trimethyl aluminum and tris-dimethyl aminosilane are precursors of the metals and plasma excited humidified argon is used as an oxidizer. To design the gas introduction process, we monitored the gas saturation characteristics of the source gases. In the conference, we release the related experiments results and demonstrate the enhancement of power conversion efficiency in the dye sensitized solar cells.

AA-SuP-41 Tungsten Thin Films Nucleation, Adhesion and Conductivity Improvement using Nanocomposite Barrier Layer Grown by Atomic Layer Deposition
Anil Mane, Jeffrey Elam (Argonne National Laboratory)

Thin layer of W is extensively used for semiconductor microelectronics devices wiring and contact metallization. The most common precursor used for ALD/CVD processes to grow W layers is WF6, and reduced with SiH4, B2H6, Si2H6, H2, etc. Prior to W layer growth, a high quality an intermediate thin metal barrier such as WN, TiN, TaN, etc is used avoid tungsten silicide formation as well as damage to underlying especially Si surface due to fluorinated chemistry. This metal barrier helps W to adhere well to substrate surface and also it helps W nucleation. This allows the W layer survive during chemical mechanical polishing (CMP) step. As 3D device dimension getting smaller and smaller, this extra thin metal barrier causes the major issues such as it takes physical space in small trenches/vias add high resistance in total line resistance. This metal barrier deposition also adds-up additional deposition tool, process steps and time.

Here we present work on development of ultra-thin nanocomposite barrier layers by atomic layer deposition method especially for W but not limited to other metal growth. Using this we have improved the adhesion of W on Si, Cu and other wafers. Additionally, nanocomposite barrier layer also offers minimum nucleation delay for W growth, improve the conductivity of the W and reduce overall ALD processing time.

AA-SuP-42 Textile-based Heater Fabricated by Atomic Layer Deposition for Artificial Muscles
Jong Seo Park, Il-Kwon Oh (Yonsei University, Republic of Korea); Tae-Hee Han (Incheon National University, Republic of Korea); Hyungjun Kim (Yonsei University, Republic of Korea); Han-Bo-Ram Lee (Incheon National University, Republic of Korea)

Electronic textiles (e-textiles) are electrically conductive textiles which are potentially important for future wearable electronic systems. Recently, we reported that atomic layer deposition (ALD) could be a good method to functionalize conventional non-conductive textiles to conductive textiles just by depositing Pt on cotton textile without any damage. In this work, we fundamentally investigated deposition mechanism and surface reactions of ALD Pt on cotton textile by using various analytic techniques, such as X-ray photoelectron spectroscopy (XPS), transmission electron microscopy (TEM), and density functional theory (DFT) calculation. ALD on cotton substrates seems to be hard since it has rare reactive sites of ALD reaction such as surface hydroxyl group. From XPS and DFT calculation, it was found that Pt precursor molecules directly react with chemical species of the reactive sites of cotton textile, and ALD Pt layer forms with very high durability on cotton textile surface through organic-inorganic hybridization. By using this flexible and durable e-textile fabricated by ALD, we demonstrated e-textile heater for artificial muscle applications. We evaluated basic heating performance of the e-textile heater, and the maximum steady-state temperature was 106 °C at 1.0 V, which was operated just by a single AA battery. The e-textile heater was integrated to artificial muscles system made by polymer wire. We demonstrated an artificial-muscle-assisted glove operated by the e-textile heater with very low power consumption which is potentially used for disabled persons and the others who need additional force to grab heavy stuffs. This fundamental and application-driven practical researches of ALD-Pt-based e-textiles could be extended to other future applications of wearable electronics.

View Supplemental Document (pdf)
AA-SuP-43 Bio-Templated Morpho Butterfly Wings by ALD for Photocatalysis
Robin Rodriguez, Sneha Agarwal, Debashree Das (University of Michigan); Wen Shang (Shanghai Jiao Tong University, China); Rachel Goubert, Rachael Skye, Eric Kazyak (University of Michigan); Tao Deng (Shanghai Jiao Tong University, China); Neil Dasgupta (University of Michigan)

Hierarchical nanostructures found in nature have unique properties that inspire bio-mimetic engineering. These natural nanostructures present design principles of bottom-up nanomanufacturing for materials with tunable properties. For example, structural coloration is a phenomenon observed in natural materials that takes advantage of nanoscale geometries to tune reflection and absorption properties through photonic resonances. This combination of strong photonic resonances and high surface area make these naturally occurring materials an ideal template for photocatalysis.

Morpho butterfly wings are particularly interesting examples of structural coloration, which occurs due to hierarchical nanostructures on the wing surfaces. ALD is uniquely suited for conformal bio-templating, which was utilized in this study to preserve the Morpho’s complex 3-D nanoscale structures and tune the optical and catalytic properties by coating them with ZnO, which serves as a functional material. SEM analysis showed conformal coating of the complex 3-D nanostructured wing surface. By controlling the ALD film thickness, the natural structural coloration could be tuned across the visible spectrum by controlling both the periodicity and refractive index of the wing nanostructures. Finite-difference time-domain (FDTD) modeling was performed to simulate the light reflection and absorption properties of the Morpho wings with varying ZnO thicknesses. The model was validated against experimental optical absorption and reflection measurements, allowing for parameterization of the spectral response.

To demonstrate that these tunable optical materials can serve as efficient functional catalysts, ALD-coated butterfly wings were tested as UV photocatalysts to oxidatively remove methylene blue dye from water. The precise thickness control of ALD allowed for a study of thickness-dependent photocatalytic activity, which was compared to ALD films on planar substrates. The Morpho bio-templates showed superior photocatalytic dye-degradation activity by a factor of >10 relative to planar thin film samples, which was attributed to enhanced light absorption and increased surface area from the butterfly’s hierarchical structures. 15nm of ZnO was determined to be the optimal thickness on the butterfly wings, while thicker films showed a decrease in activity. FDTD simulations demonstrate that this is based on a tradeoff between absorption, reflection, and surface area. This study demonstrates bio-templating with functional materials by ALD is a powerful platform to tune and optimize material architectures, and has the potential of extending bio-inspired engineering to new applications.

AA-SuP-44 Atomic Layer Deposition of ZrSiO4 and HfSiO4 Thin Films using a Newly Designed DNS-Zr and DNS-Hf Bimetallic Precursors for High-Performance Logic Devices
Da-Young Kim, Se-Hun Kwon (Pusan National University, Republic of Korea); Sang Yong Jeon, Hang Don Lim, Sung Woo Jo, Jung Jin Park, Won Mook Chae, Sang Jun Yim, Jung Hyun Park (DNF.Co.Ltd, Republic of Korea); Sang Ick Lee, Myong Woon Kim (DNF Co. Ltd, Republic of Korea)

Future scaling of complementary metal-oxide semiconductor (CMOS) technology requires high k dielectrics with metal gate electrodes to obtain higher gate capacitances and low gate leakage current. Of various candidates for gate dielectrics, Zr or Hf based silicates (ZrSiO4 and HfSiO4) prepared by atomic layer deposition (ALD) have received great attention for this application due to its high dielectric constant, low leakage current, and their thermodynamic stability with Si. However, such ALD-ZrSiO4 and HfSiO4 dielectrics have been generally prepared by alternating ALD-ZrO2 (or HfO2) and SiO2 using multiple ALD precursors, which increased the process complexity and occasionally resulted in the fluctuation of electrical performance due to the composition inhomogeneity between Zr (or Hf) and Si within the gate dielectric films.

Herein, therefore, we demonstrated a reliable ALD process of ZrSiO4 and HfSiO4 dielectrics using newly designed bimetallic precursors, DNS-Zr and DNS-Hf. H2O and O3 was applied as suitable reactants for those bimetallic precursors. Our bimetallic precursor for ZrSiO4 and HfSiO4 enables a simple and reliable ALD process for depositing stoichiometric ZrSiO4 and HfSiO4 compounds on Si substrate. And, the detailed growth characteristics of both dielectrics are systemically investigated. Also, their electrical performance including current-voltage (I-V) and capacitance-voltage (C-V) was carefully evaluated. The result indicated that our bimetallic precursor based ALD-ZrSiO4 and HfSiO4 processes can be potentially used as future gate dielectrics of high performance of logic devices. View Supplemental Document (pdf)
AA-SuP-45 Enhanced Thermal Stability and Catalytic Activity of Metal Oxide Coated Platinum Catalyst via Atomic Layer Deposition
Jiaming Cai (Huazhong University of Science and Technology); Kun Cao, Bin Shan (Huazhong University of Science and Technology, China); Rong Chen (Huazhong University of Science and Technology, P.R. China)
Platinum nanoparticles (NPs) play an important role in the catalytic applications such as conversion of automotive exhaust, fuel cells, nano sensing and so on. For majority catalytic applications, sintering of Pt NPs is undesirable since the coalescence decreases the number of active sites of the catalyst. Herein we report the utilization of active oxide layers to encapsulate Pt NPs through atomic layer deposition (ALD) method. We will demonstrate a series active oxide coating on Pt nanoparticles prepared via ALD method. The thickness of oxide coating layer was controlled accurately by varying the ALD cycles. The effect of layer thickness towards catalytic properties and thermal stability for CO oxidation is investigated. The coating structure shows high activity for CO oxidation even after high temperature annealing. The thickness of oxide coating layer has a great influence on the catalytic property. For example, a coating layer can cause both increase and decrease of the catalytic property. With proper modulation, the oxide coating could prevent sintering and improve catalytic performance simultaneously. Characterizations including TEM, XPS, and FTIR have been undertaken to explore the origin of the CO oxidation catalytic activity. The enhanced CO oxidation catalytic activity is mainly contributed by the metal-oxide interface and the stability can be attributed to the physical anchoring of the active oxide coating layer.
AA-SuP-46 Selective Atomic Layer Deposition of Bimetallic Core Shell Nanoparticles Towards PROX Reactions Modulated with Pt Shell Thickness
Yun Lang, Jiaqiang Yang, Kun Cao, Jie Zhang, Bin Shan (Huazhong University of Science and Technology, China); Rong Chen (Huazhong University of Science and Technology, China, P.R. China)

Bimetallic nanoparticles (NPs) have attracted great attention due to their unique properties for catalytic applications. Compared with the physical mixture of monometallic NPs or the alloyed bimetallic NPs, the formation of core shell structure could further enhance the activity, selectivity and stability. Synthesizing core shell nanoparticles (NPs) with well controlled shell thickness and composition is of great importance in optimizing their reactivity. Here we report synthesis of core shell NPs using selective atomic layer deposition (ALD) technique. The size, shell thickness, and composition of the NPs can be precisely controlled by varying ALD cycles. The catalytic performance towards preferential oxidation of CO under excess H2 (PROX) reaction was studied with different Pt shell thickness. Core shell NPs exhibit both great selectivity and enhanced activity and density functional theory simulations have been carried out to explain such behavior towards PROX reactions. More interesting, the catalytic activity of hybrid nanostructure can be tuned continuously with shell thickness and facet selectivity. The selectivity originates from electron structure modification and lattice mismatch, which is consistent with first-principles calculations of Pt ALD on different ruthenium facets. Such selectivity results in the co-existing of Ru and Pt facets, which shows the superior selectivity and activity.

AA-SuP-47 Deposition of Tungsten Carbide and Tungsten Nitride Films from Halogen-Free Tungsten Precursors
Moo-Sung Kim (Versum Materials Korea, Republic of Korea); Stephen Yabut, Sergei Ivanov (Versum Materials)
Further shrinkage of electronic devices brings new challenges for thinner barrier films with lower resistivity and better barrier properties. For a number of years conducting material used in semiconducting industry has been tungsten deposited by CVD process with tungsten hexafluoride. Titanium nitride is used to form a barrier layer between silicon oxide and tungsten. Scaling titanium nitride becomes challenging due to granular structure of the film and potential diffusion of fluorine atoms during deposition of tungsten nucleation layer on titanium nitride substrate. In addition, conformal deposition of titanium nitride from halogen-free precursors is very challenging. One option to further reduce the thickness of combined barrier layer and tungsten nucleation layer is to deposit tungsten nitride or tungsten carbonitride barrier films using halogen-free tungsten precursor. In this study several tungsten precursors, including tungsten hexacarbonyl (W(CO)6), cyclopentadienyltungsten tricarbonyl hydride (CpW(CO)3H) and diisopropylcyclopentadienyltungsten dihydride ((iPrCp)2WH2), were used to deposit tungsten-containing films by thermal CVD, thermal ALD and PEALD. Only CVD process can be demonstrated for tungsten hexacarbonyl, while W2N nitride was deposited from two cyclopentadienyl precursors by ammonia PEALD and tungsten carbide films were deposited by hydrogen PEALD. Film properties and deposition rates on various substrates will be presented.
AA-SuP-48 Improvement of Corrosion and Mechanical Properties of NiTi Implants by using Atomic Layer Deposited TiO2 Protective Coating
Chi-Chung Kei, Yu-Hsuan Yu (National Applied Research Laboratories, Republic of China); David Vokoun, J. Racek, L. Kadeřávek (Institute of Physics of the ASC Prague)

Prevention of Ni ion release in human body is crucial to commercialization of biomedical NiTi implant. Therefore, atomic layer deposition (ALD) is applied to deposit TiO2 protection coating on NiTi samples by using TiCl4 and H2O precursors. Mechanical tester equipped in a scanning electron microscope allows us to observe generation of tensile-load-induced microcracks on NiTi samples. As shown in Fig. 1, atomic layer deposited TiO2 protective coating can withstand 8% tensile strain. Whereas the TiO2 layers grown thermally by oxidizing NiTi at temperature around 450°C contained densely distributed microcracks during loading. We found excellent corrosion properties of TiO2 coated NiTi samples by using ALD.

AA-SuP-49 UV Reflectance Measurement Results of ALD-ALE Modified Al Mirrors for Future Astronomical Missions.
Christopher Moore (University of Colorado - Boulder); John Hennessy (Jet Propulsion Laboratory, California Institute of Technology); Christian Carter (University of Colorado - Boulder); April Jewell, Shouleh Nickzad (Jet Propulsion Laboratory, California Institute of Technology); Kevin France (University of Colorado - Boulder)

Recent advances in ALD technology have provided new opportunities to advance mirror coatings. Specifically, an ALD and ALE hybrid process can be used to improve UV mirror coatings performance for future astronomical satellite missions. Al mirrors are used for their high intrinsic reflectivity from 85 – 2,000 nm but suffer from oxidation, which degrades reflective performance below 250 nm. ALE can be used to remove a substantial amount of this native oxide (Al2O3) layer and subsequent ALD metal fluoride coatings to protect this Al mirror from re-oxidation. Layers of AlF3 less than 20 nm are usually sufficient to mitigate environmental degradation of Al mirrors, but very thin layers (< 3 nm) are necessary to best recover the intrinsic Al reflectance down to 90 nm. We present results on the optical performance of Al mirrors processed by an ALE oxide removal and subsequent ALD capping process, with an emphasis on UV reflectance.

AA-SuP-50 Modification of Borohydride Materials for Hydrogen Storage by ALD
Katherine Hurst, Steven Christensen, Philip Parilla, Thomas Gennett (National Renewable Energy Laboratory)

Atomic layer deposition (ALD) provides important routes for tailoring material properties in order to tune their performance as hydrogen storage materials for fuel-cell vehicles. Currently available hydrogen fuel-cell vehicles systems rely on hydrogen stored in compressed tanks at 700 bar. While this hydrogen storage system is partially fostering early-market deployment, the compressed gas system presents several practical challenges and expenses related to infrastructure and delivery that could limit widespread adoption. Metal borohydrides are an important material that can reach DOE system capacity targets for hydrogen storage. However, other targets such as those related to kinetics, desorption temperature, pressure and cyclability are not met. In particular, reaction pathways for uptake and release of hydrogen by metal borohydrides lead to capacity degradation; efforts to remedy this in borohydride materials are still under investigation. It is well known that a nanostructured hydride phase and catalyst additives both greatly enhance the kinetics, lower operating temperatures, and increase cyclability. Recent work at the National Renewable Energy Laboratory has applied ALD to capture some of these improvements. We show that over-coating plays a key role in maintaining the kinetics and cyclability needed for onboard storage. This presentation will discuss advancements in over-coating metal borohydride materials for hydrogen storage applications.

This work was supported by the U.S. Department of Energy, Office of Energy Efficiency and Renewable Energy, Fuel Cell Technologies Office, under Contract No DE-AC36-08GO28308

AA-SuP-51 Color Modification of Metal Surfaces by Transparent ALD Film Stacks
Ritwik Bhatia, Adam Bertuch (Ultratech)

ALD has been used in optical applications like band pass filter [1], broad spectrum anti-reflective coating [2], Bragg reflector [3] etc. ALD is of interest in optical applications due to precise thickness control, ease of scale up and ability to coat curved surfaces uniformly.

In this work we discuss a different optical application for ALD – modifying the color to metals like aluminum and silver. A target color is defined in terms of its reflection spectrum or color coordinates. The optical stack is modeled using Fresnell equations and the film thicknesses required to meet the target are optimized using a differential evolution algorithm. Figure-1 show the targeted green and blue colors achieved by coating aluminum with the appropriate stack of ALD Al2O3 and TiO2 films. More generally, the range of colors achievable depends strongly on the underlying substrate. Figure-2 shows the chromaticity diagram in coordinates defined by CIE 1976. On this diagram we have superimposed one polygon per substrate material – the interior of the polygon defines the range of possible colors. This shows that colors palette achievable on titanium is much larger than that achievable for aluminum, silver or gold.

References:

1. Szeghalmi et al, Applied Optics, Vol. 48, No. 9 (2009)

2. Jewell et al, Proc. SPIE 8820, Nanoepitaxy: Materials and Devices V (2013); doi:10.1117/12.2025198

3. Rissanen et al, Proc. SPIE 8249 (2012); doi:10.1117/12.905084

View Supplemental Document (pdf)
AA-SuP-52 Fabrication of New Type Electrode Based TiO2-coated Metal Foam using ALD Process for Decomposition of Methane Gas for Fuel Cell Application
Sung Pil Woo (Yonsei University, Republic of Korea); Kang Soo Lee, You Na Lee, In Yea Kim, Jae Hwan Ko, Seoyoon Shin, Young Soo Yoon (Gachon University, Republic of Korea)

Natural gas contain methane (CH4), carbon dioxide (CO2), oxygen (O2), nitrogen (N2), and other components. Among the many components of natural gas, methane is the simplest organic compound consisting of one carbon atom and four hydrogen atoms around carbon atom. Methane is a natural gas that can be generated biological routes and production during transport of oil and natural gas. However, methane is powerful greenhouse gas. Recently, many researchers are conducting studies for methane emission reduction and methane removal and reduction. Fuel cell is attractive electric generation technology without release pollution. Among the many fuel cell system, proton exchange membrane fuel cell (PEMFC) which used hydrogen as fuel is the most suitable fuel cell for portable and mobile application due to low operating temperature, short initial operating time, low weight, and small volume. Our group fabricated system of low temperature fuel cell used methane gas as fuel. In this system, oxide based catalyst was used to decompose methane for hydrogen production. We developed TiO2 coating layer on the stainless steel foam (SUS foam) that is electron supporter instead of carbon based material such as CNT, graphene, fullerene, and etc. A TiO2 coating layer was formed using ALD process. After then, TiO2 coated SUS foam immersed solution dispersed Pt catalyst. Finally, we fabricated Pt@TiO2@SUS foam electrode and measured XRD, SEM, TEM, and electrochemical characterization compared to TiO2@SUS foam electrode. Hence, we can propose Pt@TiO2@SUS foam to be a promising new type electrode for fuel cell used methane gas as fuel.

AA-SuP-53 Plasma-assisted ALD of Ru Nanoparticles on Electrospun Polymeric Nanofibers for Catalysis
Kugalur Ranjith, Ali Haider (Bilkent University, Turkey); Necmi Biyikli (Utah State University); Tamer Uyar (Bilkent University, Turkey)

This study reports the surface functionalization of electrospun polymeric nanofibers with Ruthenium nanoparticles (Ru-NP) by plasma-assisted atomic layer deposition (PA-ALD). The uniform one-dimensional morphology of polymeric nanofibrous templates were preserved during the plasma-assisted growth process with the help of a conformal metal-oxide passivation layer coated with thermal ALD.

Atomic layer deposition of Ru was performed on polymeric nanofibers using Bis(cyclopentadienyl)ruthenium(II) and NH3 plasma in a customized PA-ALD reactor with a stainless steel hollow-cathode plasma source. One unit growth cycle consisted of two pulses of Bis(cyclopentadienyl)ruthenium(II) (pulse length=1 s), Ar purge (10 s), NH3 plasma exposure (20 s), and Ar purge (10 s). Bis(cyclopentadienyl)ruthenium(II) was heated to 80°C before the growth and kept at this temperature during the entire growth experiment which was performed at a substrate temperature of 230°C.

The particle size determination, detailed morphological, structural, and chemical characterization of the Ru-NP/nanofiber nanocomposite was performed by high-resolution SEM and TEM analysis, XRD, and high-resolution XPS measurements. The Ru-NP is known for its efficient catalytic property. Hence, the catalytic performance of Ru-NP/nanofiber nanocomposite was investigated by the hydrogenation of styrene, cyclohexane, and quinolone compounds. Moreover, the re-usability of the Ru-NP/nanofiber nanocomposite material was also investigated for the long-term performance of the catalytic reactions.

In brief, Ru-NP by ALD is more applicable and portable through surface decoration onto the electropsun polymeric nanofibers. In addition, the high surface area of nanofibers provides significantly enhanced active sides for the nanoparticles, so they will be able to show higher and more efficient catalytic activity. Hence, with the PA-ALD of Ru-NP on electrospun nanofibers, it will be possible to improve catalysis systems having high performance, re-usability, flexibility, and portable properties.

View Supplemental Document (pdf)
AA-SuP-54 The Adhesion of Thermal ALD to Metal Surfaces at Low Temperatures
James Kelliher, William Sweet, Nathaniel Crain, Christopher Roske (Northrop Grumman)

Atomic Layer Deposition (ALD) is a thin film technique that offers high conformality and sub-nanometer thickness control on structures of different surface chemistry. Its application to microelectronics, flexible electronics, packaging, and MEMS often requires low deposition temperature due to thermal budget constraints.

In this work, thermal ALD is used to deposit ZrO2 on silicon, as well as various oxides and metals, in order to study film adhesion. Films were deposited at 120-250° C, and analyzed using optical and x-ray techniques to determine thickness and roughness. In addition, the water contact angle of the films was measured using the sessile drop method. Film adhesion was determined using the ASTM D3359-02 standard tape test method on various oxide and metal surfaces. Adhesion issues were observed when ZrO2 was deposited at lower temperatures on certain metal surfaces.

AA-SuP-55 ALD-Frequency Multiplied Fresnel Zone Plates for Hard X-rays Focusing
Nicolaie Moldovan, Hongjun Zeng (Advanced Diamond Technologies); Ralu Divan, Leonidas Ocola, Vincent De Andrade (Argonne National Laboratory)

Fresnel zone plates are convenient diffractive focusing devices for X-rays, due to their ease of use and high imaging resolution capabilities. As applications evolve towards higher photon energies, the requirement of patterning of micrometers-thick absorber materials collides with the capability of patterning zones with ~20 nm widths, as necessary for high resolution imaging. Aspect ratios of tens to hundreds are necessary, and impossible to achieve only by lithography and etching or electroforming -the traditional methods of fabrication of such devices.

A straightforward approach is to pattern low-Z scaffold materials with larger-width circles, then do a frequency multiplication by deposition highly conformal layers of high-Z materials on these scaffolds. Due to the high conformity of the deposition and control capabilities of thickness in the nanometer range, ALD is an ideal candidate process for this application. Here we report on formation of zone plates on ultra-nanocrystalline diamond (UNCD) scaffolds, with tungsten ALD coatings. The diamond scaffolds have 60 nm wide zones achieved by electron beam lithography and reactive ion etching, and the tungsten film, deposited by ADL, has 20 nm thickness. The thickness of the absorber material, in the direction of the optical axis is 1.6 mm. Details of the fabrication are presented in Fig.1. Fig.2 shows a typical scanning electron microscopy image of a UNCD scaffold, with 60 nm minimal-width circular zones. A “composite zone plate” configuration is adopted, in which an outer zone plate with triple widths zones is added, such that the third order focus of the outer zone plate coincides with the first order focus of the inner zone plate, contributing to higher efficiency. This is meant to compensate in part the loss in efficiency due to the frequency multiplication, which deviates from the ideal Fresnel zone rule of equal zones area. Fig. 3 shows a finite, ALD-coated scaffold with 20 nm tungsten. The zone plates were tested at 9.1 keV photon energy in imaging mode, on beam line 32-ID-C of the Advanced Photon Source of Argonne National Laboratory.

The diamond scaffolds were fabricated at the Center of Nanoscale Materials, of Argonne National Laboratory, under proposals 38658 and 45427. Use of the Center for Nanoscale Materials and Advanced Photon Source, Office of Science user facilities, was supported by the U. S. Department of Energy, Office of Science, Office of Basic Energy Sciences, under Contract No. DE-AC02-06CH11357.

View Supplemental Document (pdf)
AA-SuP-56 Synthesis of Highly Dispersed and Highly Stable Supported Au-Pt Bimetallic Catalysts by a Two-step Method
Xiaofeng Wang, Xinhua Liang (Missouri University of Science and Technology)

Highly dispersed and highly stable supported bimetallic catalysts were prepared by a two-step process. Highly dispersed platinum (Pt) nanoparticles (NPs) were first deposited on porous γ-alumina (γ-Al2O3) particles by atomic layer deposition (ALD) in a fluidized bed reactor at 300 ºC. Gold (Au) NPs were synthesized using gold(III) chloride (HAuCl4) and tetrakis(hydroxymethyl)-phosphonium chloride (THPC) as precursors, and then stabilized on ALD Pt/γ-Al2O3 particles. TEM analysis showed that the particle sizes of Pt, Au and Au-Pt was around ~1.3 nm, ~2.2 nm, and ~3.0 nm, respectively. STEM/EDS observation verified that most of the metal NPs on Al2O3 were Au-Pt bimetallic NPs. The composition of the bimetallic NPs was further verified by pair distribution functions (PDF) and X-ray absorption spectroscopy (XAS) analysis. All catalysts were subjected to catalytic testing to determine their relative activities for glucose oxidation to gluconic acid in aqueous solution. The Au-Pt bimetallic catalysts were highly active, due to the synergetic effect of two metal elements, and highly stable, due to the facts that Pt NPs were deposited and strongly anchored on the substrate surface by chemical bonds. The interaction between Pt and Au is strong. This method of Au-Pt synthesis overcomes the leaching problem and improves the stability of Au-Pt catalysts greatly.

AA-SuP-57 Atomic Layer Deposited Cobalt Oxide Thin Films as Photoanodes for Photoelectrochemical Water Splitting
Soonyoung Jung, Byeonghyeon Jang (Yeungnam University, Republic of Korea); Seungtaeg Oh, Yong Hwan Lee, Jihun Oh (KAIST, Republic of Korea); Soo-Hyun Kim (Yeungnam University, Republic of Korea)

Cobalt oxides have attracted a great interest in view of their potential applications including electrochromic devices, sensors, ReRAM, catalysts and intercalation compounds for energy storage due to their useful electronic and magnetic properties. Cobalt oxide is known to exist in two different stable phase, one is Co3O4 that is mixed valence compound CoIICoIII2O4 with the normal spinel structure. The other thermodynamically stable oxide is the high temperature phase CoO which crystallizes in a rocksalt structure at temperature above 900°C, however kinetically stable at room temperature. Co3O4 is more beneficial as an intercalation host material because spinel structure has a larger unit cell (0.808 nm) than the rock-salt structure (0.427 nm) and contains more interstitial sites. Co3O4 is the corresponding electrochromic efficiency is relatively high (≈25 cm2‚C-1). And also, it can offer large surface area, high conductivity, electrochemically stability. Various kinds of thin film deposition techniques, including such as sputtering, CVD, PLD and ALD have been used for obtaining single-phase Co3O4 thin film. But the most of previous studies showed that thin films with dual-phased of CoO and Co3O4 were deposited. In the case of ALD, a single-phased Co3O4 thin film was obtained only when the very reactive oxidant O3 or O2 plasma were used and in case of O2 molecules, very high temperature was required. It means that it have been difficult to obtain single phase Co3O4. In this study, at first, the controlled growth of various cobalt oxides thin films was investigated by ALD using a novel Co metallorganic precursor and O2 molecules as a reactant at a deposition temperatures ranging from 125 to 300 oC. XRD and Raman analysis indicated that deposition temperature range from 125 and 250 oC, CoO and Co3O4 dual-phased thin film was deposited. And, a single-phased Co3O4 was formed from temperature at 265 oC. The RBS analysis indicated that at 275oC, almost stoichiometric Co3O4 thin film was deposited. From UV-Visible analysis, a single-phased Co3O4 film optical band gap was determined as 1.98 eV. The ALD cobalt oxides thin films prepared in this study were evaluated as a heterojunction p-CoOx/n-Si photoanode for photoelectrochemical (PEC) water splitting.

View Supplemental Document (pdf)
AA-SuP-58 ALD of Nanolaminate Doped Electron Transport Layers for Perovskite Solar Cells
Melissa McCarthy, Adrian Walsh, Louise Ryan (Tyndall National Institute, University College Cork, Ireland); Arnaud Walter, Brett Kamino (Centre Suisse d'Electronique et de Microtechnique); Jérémie Werner (Ecole Polytechnique Fédérale de Lausanne); Soo-Jin Moon, Sylvain Nicolay (Centre Suisse d'Electronique et de Microtechnique); Christophe Ballif (Ecole Polytechnique Fédérale de Lausanne); Fathima Laffir (University of Limerick); Shane O'Brien, Martyn Pemble, Ian Povey (Tyndall National Institute, University College Cork, Ireland)

Organometallic halide perovskite (PK) devices have attracted considerable interest in recent years due to their low cost, high efficiency, and potential uses in tandem devices. The ongoing investigation into these solar devices have allowed power conversion efficiencies (PCEs) to increase dramatically to over 20% over a brief period of time.[1-4] To date, the majority of reported efficiencies for these devices have been obtained on a notably lower scale than 1 cm2. Scalability and stability over time have remained the principal challenges of this upcoming technology.

Despite TiO2 being used by most as the electron transport layer (ETL), it has been shown to reduce the long-term stability of the cell by restricting charge transport. This has been associated with oxygen induced defects contained within the TiO2, as well as a conduction band misalignment with the perovskite layer. This type of ETL also produces pronounced hysteresis in the current-voltage curve.[5]

In this work, ETLs comprised of nominally undoped TiO2 and nanolaminate doped TiO2 have been deposited using thermal and plasma atomic layer deposition (ALD) on fluorine doped tin oxide (FTO) coated glass. These were then fabricated into both planar and mesoporous perovskite devices. All metal oxides were deposited using ALD below 200 °C to align with the requirements of silicon-perovskite heterojunction tandem cells.[6] The composition of the ETLs and the influence of the ALD growth parameters are highlighted and discussed along with the resulting cell performances.

[1] W.S. Yang et al., Science, 348 (2015) 1234-1237.

[2] N.J. Jeon et al., Nature, 517 (2015) 476- 480.

[3] S.D. Stranks et al., Science, 342 (2013) 341-344.

[4] NREL, "NREL Efficiency Chart," 2 December 2016. [Online]. Available: https://www.nrel.gov/pv/assets/images/efficiency_chart.jpg (Accessed February 2017)

[5] J.P. Correa Baena, Energy and Environment Science, DOI:10.1039/c5ee02608c, (2015).

[6] J.P. Mailoa et al., Applied Physics Letters, 106 (2015) 121105.

AA-SuP-59 ALD TiO2 Coating on Nanostructured Silicon with Enhanced Photoelectrochemical Efficiency and Stability
Xudong Wang, Yanhao Yu (University of Wisconsin-Madison)

Intense charge recombination and weak electrochemical stability are main limitations that drag the advancement of black silicon (b-Si) in photoelectrochemical (PEC) solar-to-fuel production. Here we report that an ultrathin amorphous TiO2 film by atomic layer deposition (ALD) can simultaneously promote the charge separation efficiency and improve the operational lifetime of b-Si PEC photoanode. The TiO2 film was deposited by low-temperature ALD conformally covering the entire nanostructured surface of b-Si. Combined with an optimized Co(OH)2 thin film as the oxygen evolution catalyst, this b-Si/TiO2/Co(OH)2 heterostructured photoanode was able to produce a very high saturated photocurrent density of 32.3 mA/cm2 at a low external potential of 1.48 V vs. RHE, noticeably exceeding planar Si and unprotected b-Si photoelectrodes. After systematically investigating their electrochemically active surface area, light absorption and charge separation characteristics, TiO2-induced photocurrent gain was attributed to the enhanced charge separation efficiency as a result of the effective passivation of defective sites on b-Si surface. Moreover, the 8 -nm ALD TiO2 layer can impressively extend the function endurance of b-Si from less than half an hour to four hours. This research established a promising strategy for using ALD to develop efficient and stable b-Si electrochemical systems.

AA-SuP-60 Fabrication of Lithium Titanate inside TiO2-Coated SUS Foam as a Binder-free Anode Material for All-Solid-State Battery
Sung Pil Woo (Yonsei University, Republic of Korea); Kang Soo Lee, You Na Lee, In Yea Kim, Jae Hwan Ko, Seoyoon Shin (Gachon University, Republic of Korea); Jong-Dae Kim (Hallym University, Republic of Korea); Joon Hyung Shim (Korea University, Republic of Korea); Wooyoung Lee (Yonsei University, Republic of Korea); Young Soo Yoon (Gachon University, Republic of Korea)
Lithium titanate (Li4Ti5O12, LTO) as a potential anode material in lithium-ion battery and all-solid-state battery has attractive advantages: (1) cycle stability which is zero-volume change during charge and discharge cycle, (2) high operating voltage plateau at 1.55 V versus Li/Li+, (3) intercalate three lithium ions per molecule, (4) stable electrochemical operation and long cycling life, (5) high reliability. However, LTO has major disadvantage such as low theoretical specific capacity (175 mAh g-1) and electrical conductivity (ca. 10-13 S cm-1), and poor diffusivity of lithium ion (ca. 10-9-10-13 cm2 s-1). Several strategies have been used to enhance performance of LTO. These include metallic and non-metallic conductive surface coating and modification, nano-sizing of LTO, and cation doping for improving electrical conductivity. In this study, we synthesized anode material of LTO using metal foam for increasing electronic conductivity. However, interfacial resistance occurs at the interface between metal foam and anode active material. We investigated TiO2 thin film on the stainless steel (SUS) foam surface to reduce interfacial resistance between LTO anode material and SUS foam and develop binder-free anode material. The SUS foam of 3D macro porous material acts as conductive current collectors which serve as a fast electron transfer without conducting additives and polymeric binders. We formed a thin film using ALD process, which is thin film deposition technique using chemical vapor of gaseous species of chemical precursors. TiO2 was prepared using titanium isopropoxide and H2O at 120 oC. After then, the LTO powder which synthesized hydrothermal method was mixed and filled inside SUS foam. The synthesized TiO2-coated SUS foam with LTO material pressed by hot-press. The synthesized anode material have been measured by XRD, SEM, TEM, and EDS for obtained structural and elementary analysis. The cross-section of pressed binder-free anode material was identified by FIB etching and resistance value was determined using impedance analyzer. The electrochemical performance of binder-free anode material with SUS foam evaluated in the voltage range of 1.0-3.0 V. The binder-free anode material show a high specific initial capacity of 158.68 mAh g-1 and capacity retention of as high as 70.2% after 100 charge and discharge cycles at a scan rate of 0.2 mV s-1. The electrical conductivity of binder-free LTO anode material is higher than that of a normal LTO anode material. Therefore, the binder-free anode materials are expected to be applicable to future-oriented binder-free lithium-ion battery and all-solid-state battery.
AA-SuP-61 ALD-Y2O3/GaAs(001) Having Extremley High Thermal Stability at 900 °C and Very Low Interfacial Trap Densities - Comparative Studies with ALD-Al2O3 and HfO2 Gate Dielectrics
Yen-Hsun Lin, Hsien-Wen Wan, Lawrence Boyu Young, Chao-Kai Cheng, Keng-Yung Lin (National Taiwan University, Republic of China); Yi-Ting Cheng (National Chia-Yi University, Republic of China); Wan-Sin Chen (National Synchrotron Radiation Research Center, Republic of China); Chiu-Ping Cheng (National Chia-Yi University, Republic of China); Tun-Wen Pi (National Synchrotron Radiation Research Center, Republic of China); Jueinai Kwo (National Tsing Hua University, Republic of China); Minghwei Hong (National Taiwan University, Republic of China)

The perfected SiO2/Si interface was the key enabling the successful high-performance complementary metal-oxide-semiconductor (CMOS) technology for the last 50 years. Two critical parameters for that interface are the excellent thermal stability at very high temperatures over 1000°C and the extremely low interfacial trap densities (Dit). Push for high-speed devices with low power consumption requires high electron mobility III-V compound semiconductors in replacing Si channel in the inversion-channel MOS field-effect-transistor (MOSFET). Again, attainment of low Dit is equally adamant for the high-performance III-V MOSFETs. Atomic-layer-deposited (ALD) Al2O3 on GaAs has been feverishly studied on the interfacial electrical and electronic/chemical characteristics, compared with the hetero-structures of other high К dielectrics on III-Vs. However, the ALD-Al2O3/GaAs cannot endure annealing with temperatures higher than 700°C and the Dit is high of 1013 eV-1cm-2 with a high peak value at the mid-gap.1 Similarly, the commonly employed ALD-HfO2 has been used to passivate In0.2Ga0.8As(001);2 the Dit values are lower than those of ALD-Al2O3/GaAs(001). The recently discovered ALD-Y2O3/GaAs(001) has shown extremely thermal stability with rapid thermal annealing (RTA) to 900°C and dwelling there for 60 sec, as shown in a high-resolution cross-sectional scanning transmission electron microscopy (STEM). Very low Dit values were obtained in the ALD-Y2O3/GaAs(001).3,4 In this work, we compare the thermal stability and the oxides/GaAs(001) interfacial characteristics. We will also correlate the interfacial electronic characteristics with the electric performances.

YHL and HWW have made equal contributions to this work.

* MH and JK are the corresponding authors.

1. Y. H. Chang, et al, Microelectron. Eng. 88, 1101 (2011)

2. Y. H. Chang, et al, Appl. Phys. Lett. 101, 172104 (2011)

3. Y. H. Lin, et al, Materials 8(10), 7084 (2015).

4. Y. H. Lin, et al, Appl. Phys. Express 9, 081501 (2016).

View Supplemental Document (pdf)
AA-SuP-62 PEALD of Nickel Thin Film using bis(1,4-di-iso-propyl-1,4-diazabutadiene) Nickel
Jae-Min Park, Seongyoon Kim (Sejong University, Republic of Korea); June Hwang, Jaemin Kim (Sejong University); Jae-Won Lee, Won Seok Han, Wonyong Koh (UP Chemical Co., Ltd., Republic of Korea); Won-Jun Lee (Sejong University, Republic of Korea)

NiSi is used for source and drain contact metal of CMOS devices. NiSi offers low resistivity (15~20 μΩ∙cm) at narrow linewidth and low Si consumption as compared with other metal silicides. The conventional PVD technique may suffer from poor step coverage in complex structures of the next-generation devices. ALD is considered as the ideal technique for the conformal deposition of Ni film, therefore Ni precursor with good reactivity, thermal stability, and high vapor pressure is needed. The most of the commercially available Ni precursors are solid at room temperature and showed low growth rates or high impurity levels due to limited reactivity or stability [1]. In the present study, we report the PEALD of nickel thin film using bis(1,4-di-iso-propyl1-1,4-diazabutadiene)nickel (Ni(dpdab)2) and NH3 or H2 plasma. Ni(dpdab)2 is liquid at room temperature, and the vapor pressure is 0.23 Torr at 80°C. The saturation dose of the Ni precursor and the ALD process window were investigated, and the RF power and the time of NH3 plasma were optimized to obtain high-purity Ni film with low resistivity. NH3 plasma produced the Ni film with low carbon concentration, however, nitrogen impurities (~10%) was incorporated into the deposited film. Nitrogen concentration of the PEALD film can be reduced to less than 1% by thermal annealing in an H2 ambient at 400°C.

[1] J. Park et al, Jpn. J. Appl. Phys. 54 (2015) 025501.

View Supplemental Document (pdf)
AA-SuP-63 Lifetime and Gain Improvement in MCP-based Detectors with ALD Thin-films for High-energy Particle Detection Applications
Nitin Deepak (University of Liverpool, UK); Scott Harada, Thomas Conneely (Photek Ltd., UK); Richard Potter (University of Liverpool, UK); James Milnes (Photek Ltd., UK)

Atomic Layer Deposition (ALD) is a very powerful technique used for the deposition of thin films for numerous applications ranging from optics to electronics where atomically smooth films are required. In this work, the conformal nature of ALD thin films has been exploited to improve the gain and lifetime of a micro-channel plate (MCP) based detectors. MCPs usually have a high aspect ratio (80:1, 60:1). These sealed tube detectors use multi-alkali photocathodes for the detection of UV, visible, or infrared light and the MCP acts as an electron amplifier. The constant bombardment of electrons on the MCP pores create +ve ions which degrade and eventually kill the photocathode. With the help of a very thin film of Al2O3 deposited by ALD, we are able to suppress the generation of +ve ions from the pores. Tuning the film growth parameters enables us to achieve massive improvements in both the gain and lifetime (from 0.1C-cm-2 to 5C-cm-2) of the detector (figure 1). Long lifetimes are important since these detectors are used in particle accelerators with high signal levels.

View Supplemental Document (pdf)
AA-SuP-64 Durability of Silver Mirrors Protected with Combinations of Evaporated and ALD Barrier Overlayers
David Fryauf, Juan Diaz Leon (University of California Santa Cruz); Andrew Phillips (University of California Observatories); Nobuhiko Kobayashi (University of California Santa Cruz)

This work investigates different barrier materials deposited on silver thin film mirrors and compares reflectivity with mirror durability after environmental stressing. Physical vapor deposition of various fluorides, oxides, and nitrides in combination with conformal layers of oxides and nitrides deposited by atomic layer deposition (ALD) are used to develop several novel multilayer coating recipes. Samples undergo aggressive high temperature (80°C) plus high humidity (80%) (HTHH) testing for ten days. Reflectivity of mirror samples is measured before and after HTHH testing, and image processing techniques are used to analyze the specular surface of the samples after HTHH testing. Mirror durability results are discussed with attention given to the silver diffusion-limiting properties of the material immediately adjacent to silver, as well as the density and chemical properties of the top barrier layer. Because higher durability is often observed in samples with less transparent barrier layers, we propose a figure of merit based on post-HTHH testing reflectivity change and spectrally reflective mirror surface area remaining after HTHH testing in order to judge overall barrier performance.

AA-SuP-65 Atomic Layer Deposition onto Carbon Fiber Fabrics
Christian Militzer, Pauline Dill, Werner Goedel (Chemnitz University of Technology, Germany)

Carbon fiber fabrics, consisting of interwoven bundles, each bundle comprising 3000 single fibers, were coated with Al2O3 using the atomic layer deposition (ALD) process, exposing the fabrics to alternating pulses of trimethyl aluminium and water vapors. The thickness and uniformity of the coatings were investigated using scanning electron microscopy (SEM) and thermogravimetric analysis (TGA). The obtained coatings were conformal, 84 ALD cycles gave rise to approximately 20 nm thick coatings and 168 ALD cycles to approximately 40 nm thick coatings. It was found, that a uniform coating can be obtained at a purge time of 40 s. Reducing purge times below 20 s gives rise to increased particle growth and thus the coating becomes inhomogeneous. Initially, the samples that were coated had a size of 2 × 10 cm (thickness 0.3 mm). The area of the fabric was subsequently increased up to 8 cm × 20 cm and a uniform coating of the same quality was obtained. By oxidizing the coated fabrics, fabrics composed of interwoven alumina microtubes were obtained. Infiltration of the microtubes with solutions of two distinguishable fluorescent dyes showed that interchange of the dyes between warp and weft microtubes occurs, but is absent at approximately 20% of the crossovers. Taking all our results into account, we conclude that the majority of the fibers were separated from each other by the coating prior to the oxidation. This work demonstrates that ALD is a suitable method to produce thin, conformal coatings on the surface of carbon fiber fabrics.

View Supplemental Document (pdf)
AA-SuP-66 Increasing Li-ion Battery Performance by Spatial ALD Coating of Separator Membranes with Al2O3
Ellis Balder, Lucas Haverkate (TNO/Holst Center, Netherlands); Yves Creyghton (TNO, Netherlands); Paul Poodt (TNO/Holst Center, Netherlands); Fred Roozeboom (TNO/Holst Center, The Netherlands); Sandeep Unnikrishnan (TNO/Holst Center, Netherlands)

Future Li-ion battery technology requires superior materials and processing to achieve improved performance (higher power and energy densities), as well as safer operation. One of the prime challenging failure modes in a battery is the thermal shrinkage of the separator membrane due to thermal run-away, which increases the probability of shorts between electrodes, causing limited lifetime and additional safety hazards.

Thin Al2O3 coatings are known to mechanically stabilize separator membranes. For example, they can be used to decrease the thermal shrinkage of the separator membranes. Moreover, the wettability of the non-polar separator membrane with polar electrolytes can be improved, yielding better (liquid) electrolyte retention throughout the polymer separator and thus improved ionic conductivity of the total Li-ion cell. To ensure the applicability of these membranes, it is important that the pores remain open and the electrical resistance remains high.

Especially in the case of high-porosity membranes, ALD is the current coating method of choice. Here, spatial atmospheric pressure ALD holds the best promise for a process enabling sufficient deposition rates at low deposition temperatures (50 °C) [1,2].

To this end, we used a rotary s-ALD reactor to deposit Al2O3 layers on a representative Celgard® 2325 membrane, with a porosity of 39 % and average pore diameter of 28 nm. The reactor is capable of thermal and plasma deposition as described elsewhere [1]. Typically, we deposited coatings made of 5 to 40 cycles with exposure times of approximately 320 ms.

Figure 1 shows a representative SEM cross-section of an alumina coated membrane, and the corresponding EDX elemental line scan for Al. They show a fully uniform Al-content across the entire porous membrane. This points to a full penetration of the TMA precursor and oxygen reactant into the porous structure.

We will also show the preliminary results on the membrane’s wettability and electrical performance. Finally we will also present the first attempts on roll-to-roll ALD processing of these separator membranes, paving the way for large area polymer membrane coating by spatial ALD.

Figure 1. Cross-section SEM image of a Celgard® 2325 membrane coated with nominally 4 nm Al2O3 (40 cycles), with corresponding EDX line scan, showing a uniform coverage of aluminum across the porous structure.

1. A. Illiberi, F. Roozeboom, P. Poodt, ACS Appl. Mater. Interfaces, 2012, 4, 268-272

2. P. Poodt, A. Mameli, J. Schulpen, W.M.M. Kessels, F. Roozeboom, J. Vac. Sci. Technol. A, 2017, 35, 021502

View Supplemental Document (pdf)
AA-SuP-67 Total-Dose Radiation Response of Atomic Layer Deposition Al2O3 Films
Chris Nixon, Brian Triggs (Semicoa); Neal Sullivan, Huazhi Li (Arradiance)

In space applications, radiation response and reliability of alternative gate dielectrics in the integrated circuits (ICs) are critical [1]. Spacecraft rely on advanced microelectronic devices to perform actions in outer space such as power conversion, communication and computing,. These devices are unavoidably exposed to space radiation, which may cause storage failure, device degradation, and even break down. To meet the need of reduced leakage currents and feature scaling in advanced devices, atomic layer deposited (ALD) high dielectric constant (high-k) gate dielectric (e.g. Al2O3, HfO2, & etc) films have been investigated as a replacement for the thermal SiO2 gate dielectric in microelectronics [2]. The objective of this work is to determine whether ALD gate oxides can be used to improve device performance under space radiation conditions by studying the total-dose radiation response of the devices.

Alumina (Al2O3) was chosen for this study because Group III oxides are the most stable and reliable dielectrics and have been studied extensively. The favorable properties that make it desirable are the large band gap and band offset, thermodynamic and kinetic stability, low bulk defect density, and high radiation resistance. These properties satisfy most of the guidelines for an ideal gate oxide. It is also the most studied ALD materials.

In the presentation, we will report the total dose radiation tests that were performed on ALD Al2O3 coated substrates such as Si and SiC and discuss the effects of different surface preparation and post deposition treatments. For Si substrate we see virtually no flatband shift post 50 Krad radiation showing the excellent stability of ALD Al2O3/Si stack (the following graph: blue indicates before radiation while red indicates post radiation).

Reference:

1. “High Reliability Power MOSFETs for Space Applications,” Masanori Inoue, Takashi Kobayashi, Atsushi Maruyama, Semiconductors Group, Fuji Electric Systems Co., Ltd., Vol.56, No. 2, Fuji Electric Review.

2. “High–k Gate Dielectrics for CMOS Technology”, Edited by Gang He and Zhaoqi Sun, John Wiley and Sons Ltd, 2012.

View Supplemental Document (pdf)
AA-SuP-68 Tuning the Switching Properties of ZnO Thin Film Memristors by Al Doping via ALD
Cecilia Giovinazzo, Samuele Porro, Carlo Ricciardi (Polytechnic of Turin, Italy)

Metal/Insulator/Metal (MIM) memristors were recently proposed to tackle the increasing request of scalability, low power consumption and fast response of new generation electronic devices. Their wide range of applications from analog computation to new generation memories and neuromorphic circuits requires memristors to possess highly adaptive capability and performance control. In this scenario, the opportunity to tune the electrical response by doping the metal oxide during the ALD process is particularly interesting.

In this work Al:ZnO (AZO) thin film devices are fabricated by ALD in a Beneq TFS200 tool using Diethylzinc (DEZ) and Trimethylaluminum (TMA) as metal precursors and water as oxidant. The ALD doping process consists of single cycles of TMA/H2O periodically inserted in a given number of DEZ/ H2O cycles, resulting in an alloy structure, where ZnO film locally contains Al2O3 partial layers. The presence of Al3+ ions intrinsically changes the structural and electrical properties of the thin film, allowing tuning the device’s memristive response. At substrate temperature of 200°C, which is inside the temperature windows of both materials, the two species exhibit very different properties: ZnO films are poly-crystalline and conducting, while Al2O3 films are amorphous and insulating. Therefore the properties of the thin film can be tuned over the range of values defined for the two pure oxides. The variation of growth per cycle rate, chemical composition and crystallinity were investigated by electron microscopy, micro-Raman spectroscopy, X-ray diffraction and X-ray photoelectron spectroscopy, revealing a gradual decrease of crystallinity with doping of AZO films. Four probes Hall measurements in Van Der Pauw configuration show that pure ZnO films are naturally n-type doped with low resistivity (9x10-3 Ωcm), which decreases with small Al concentration in the film until a minimum value (~5% Al). In AZO samples, the carrier concentration rapidly increases to reach a saturation value of 2x1021 cm-3, while mobility decreases adding Al partial layers that act as local barriers.

To test the electrical response, Pt/Al:ZnO/Cu structures were realized on a Si wafer and tested in voltage sweep mode using a 4200 Keithley ReRAM module. Lightly doped samples (1-5% Al) show a decrease in OFF resistance state and switching voltages. In addition, a change in switching mechanism influenced by the variation of resistivity and mobile carrier concentration is presented.

Tuning the switching parameters by a combination of different oxides via ALD impacts on the MIM structures engineering, adding a degree of freedom in memristor realization and fine control. View Supplemental Document (pdf)
AA-SuP-69 Atomic Layer Deposition of Bulk Layered Heterojunctions for Efficient Electrocatalyst
Changdeuck Bae, Thi Anh Ho, Hyunjung Shin (Sungkyunkwan University)

We describe the spontaneous formation of a composite chalcogenide materials that consist of two-dimensional (2-D) materials dispersed in bulk and their unusual charge transport properties for application in hydrogen evolution reactions (HERs). When MoS2 as a representative 2-D material is atomic layer deposition-deposited on transition metals such as Cu in a controlled manner, the sulfidation reactions also occur with the metal. This process results in remarkably unique structures, i.e., bulk layered heterojunctions (BLHJs) of Cu-Mo-S that contain MoS2 flakes inside, which are uniformly dispersed in the Cu2S matrix. The resulting structures were expected to induce asymmetric charge transfer via layered frameworks and tested as electrocatalysts for HERs. Upon suitable thermal treatments, the BLHJ surfaces exhibited the efficient HER performance of approximately 10 mA/cm2 at a potential of as low as -0.1 V versus a reversible hydrogen electrode (RHE). The Tafel slope was approximately 30 to 40 mV/dec. The present strategy was further generalized by demonstrating the formation of BLHJs on other transition metals such as Ni. The resulting BLHJs of Ni-Mo-S also showed the remarkable HER performance and the stable operation over 10 days without using Pt counter electrodes by eliminating any possible issues on the Pt contamination.

AA-SuP-70 Atomic Layer Deposited Ultra-thin Ta-Ni-N Films for Cu Diffusion Barriers
Yong-Ping Wang, Zi-Jun Ding, Wen-Jun Liu, Shi-Jin Ding (Fudan University, China)

Recently, tantalum nitride (TaN) has been widely used in integrated circuits as a diffusion barrier for Cu interconnects due to its relatively good diffusion barrier effect and thermal stability. However, the TaN barriers deposited by atomic-layer-deposition (ALD) usually suffer a high resistance due to incorporation of C and O impurities from the precursors. In order to improve the conductivity of ALD TaN, novel Ni-doped TaN films were deposited by plasma-assisted ALD at 250 oC. Pentakis(dimethylamino)tantalum (PDMAT) and nickelocene (NiCp2) were used as Ta and Ni sources and NH3 plasma as a reducing agent, respectively. The relative contents of TaN and Ni in the Ta-Ni-N films were controlled by the reaction cycle ratio of PDMAT-NH3 (m) to NiCp2-NH3 (n).

Firstly, we investigated the influence of the relative contents on the characteristics of the deposited films, including chemical composition, surface morphology, resistivity, film density and thermal stability. The deposited films contain Ta, Ni, N, C and O elements, of which the relative percentage of O is less than 9%. As the reaction cycle ratio (m:n) decreases from 2:1 to 2:6, the RMS increases from 0.150 to 0.527 nm, and the resistivity decreases from 0.18 to 0.011Ω×cm. After annealing at 400 oC for 30 min in N2/H2, the films exhibit unchanged resistivity and amorphous matrix, indicating good thermal stability. Furthermore, the good step coverage of the Ni-doped Ta N film is also demonstrated in an array of Si nano-pillars with an aspect ratio of about 6.

Secondly, the stacks of Cu(50nm)/barrier(5nm)/Si were prepared and annealed at different temperatures in order to study the capabilities of different composition Ta-Ni-N barriers against Cu diffusion. When the annealing temperature was increased to 500 oC, the barriers with a deposition cycle ratio of < or = 2:5 failed. In order to maximize Cu volume and reduce interconnect resistance, decreasing the thickness of diffusion barrier is an effective option. Therefore, ultra-thin barriers were further evaluated by leakage current measurement of metal-oxide-Si (MOS) capacitors. Herein, the Cu(50nm)/ barrier (4nm or 3nm) /SiO2 (20nm) /Si structures were fabricated using a lift-off process. The results indicate that both the films have a smooth surface with a RMS value between 0.157nm and 0.413nm, and the 4nm barriers with a deposition ratio of > or = 2:4 still retain a good diffusion barrier property after annealing at 450 oC for 30 min. In conclusion, the present study indicates that addition of appropriate Ni to TaN can improve the conductivity while maintaining a good barrier property against Cu diffusion.

AA-SuP-71 Improved Electrical Properties of ZrO2/ZrSiO4/ZrO2 (ZSZ) based MIM Capacitors using DNS-Zr Bimetallic Precursor
Sang Yong Jeon (DNF.co.Ltd, Republic of Korea); Hang Don Lim (DNF Co. Ltd, Republic of Korea); Sung Woo Jo (DNF.co.Ltd, Republic of Korea); Jung Jin Park (DNF Co. Ltd, Republic of Korea); Won Mook Chae, Sang Jun Yim (DNF.co.Ltd, Republic of Korea); Jung Hyun Park (DNF Co. Ltd, Republic of Korea); Sang Ick Lee (DNF.Co.Ltd, Republic of Korea); Myong Woon Kim (DNF Co. Ltd, Republic of Korea); Da-Young Kim, Se-Hun Kwon (Pusan National University, Republic of Korea)

As the size of the dynamic random access memory (DRAM) is continuously scaled down, the new high-k dielectric materials, such as TiO2 and SrTiO3 have received great attention. However, these high-k materials inevitably require noble metal electrodes such as Ru and Ir because of their relatively narrow band gap. Although noble metal electrodes for DRAM application have been studied for over a decade, there still remains a lot of process limitation that need to be overcome for successful application of TiO2 and SrTiO3. Atomic layer deposited ZrO2 films with ultra-thin Al2O3 leakage blocking layer, so-called ZAZ structure, has been successfully adopted as an efficient high-k capacitor dielectric of current DRAM. However, it is doubt that the current ZAZ capacitor structure will be continued for next generation DRAM production because the continuous improvement of dielectric properties of ZAZ capacitor structure seems not to be easy.

Herein, therefore, we proposed a simple but mass-production friendly ALD-ZrO2/ZrSiO4/ZrO2 (ZSZ) approach to overcome current ALD-ZAZ capacitors. By simply substituting Al2O3 with ZrSiO4, a further improvement of dielectric properties of capacitor structure was successfully demonstrated. ALD-ZrSiO4 using DNS-Zr bimetallic precursor exhibited a comparable leakage current property with Al2O3. And, its dielectric constant was higher than that of ALD-Al2O3. A use of DNS-Zr bimetallic precursor provided a simple ALD process, a homogeneous deposition on high-aspect ratio patterns, and stable electrical performance. Based on our observation, it was believed that our ZSZ structure by simply substituting Al2O3 with ZrSiO4 can be potentially used for future DRAM technology.

AA-SuP-72 ALD Metal Oxides for Passivation of Si/SiO2 Interface in BSI CMOS Image Sensors
Evan Oudot, Mickael Gros-Jean, Kristell Courouble (STMicroelectronics, France); Christophe Vallée (Univ. Grenoble Alpes, CNRS, France); François Bertin (LETI, France)

Back-Side Illuminated CMOS Image Sensors (BSI CIS) requires an anti reflective coating layer (ARC) at the backside interface. Moreover this ARC have to play the role of passivation layer in order to reduce the dark current level due to thermal generation of electrons at the backside Si/SiO2 interface. Indeed, defects at the Si/SiO2 interface imply energy levels into the silicon band gap, commonly called interface traps (Dit), and then assist the generation of electrons. In this study we focus on the passivation layer properties, i.e the reduction in electron’s generation rate at the interface, Us. To do this, there are two possibilities. First, reduce the density of interface traps which is the root cause of electrons’ generation. This first solution is called chemical passivation. Secondly, by introducing negative charge in the ARC. Indeed, this permits to accumulate holes at the Si/SiO2 interface which allows to pull the Fermi level toward the valence band and thus to empty the interface states. This second solution is called field effect passivation. Therefore, the new ARC proposed in this work is a stack composed of three layers. First a layer of metal oxide (HfO2 or Al2O3) deposited by ALD on a silicon oxide (SiO2) interlayer for their passivation properties, and then a layer of Ta2O5 using for his anti reflective property.

The aim of our work is to understand origin of defects and charges in ARC to control their quantity and so reduce the dark current. For this we review here the results concerning charges (Qtot) and density of interface traps (Dit) obtained using two passivation materials, HfO2 and Al2O3. These results are obtained from COCOS (Corona Oxide Characterization Of Semiconductor) measurements on full sheet wafers. In particular we focus on the impact of ALD process and anneal.

AA-SuP-73 Graphene-based Flexible Electrode Preparation with Aid of ALD Layer and its Electrochemical Applications
Yekyung Kim, Dohyeon Lee, Jin Woo Seo, Sang Jin Lee, Kyung-Pyo Hong, Se Hong Chang, Seungmin Cho, Hyeongkeun Kim (Korea Electronics Technology Institute, Republic of Korea)

The demand of transparent electrode, in these days, are gradually increasing with the technological development in the field of smart films-with the function of electrochromic, thermochromic, heating and others-, OLED lighting, display and so on. Graphene is considered as a candidate for the next generation of transparent electrode. However, its electrical property is not reached as much as the rigid transparent conducting oxide (TCO) so far. Therefore, in this study, a type of rigid TCO of indium tin oxide (ITO) was deposited on the flexible graphene/PET substrate to ensure the electrical conductivity and flexibility as a transparent flexible electrode. However, during the ITO deposition process of physical vapor deposition (PVD), graphene was exposed to the oxygen plasma which cause serious defects. To prevent the additional defect production during electrode preparation, an ALD layer was introduced beneath the ITO layer. As an electrochemical application of the prepared transparent electrode, an electrochromic cell was fabricated with the electrochromic layer of tungsten oxide and its pair-electrochromic layer of nickel-tungsten oxide, in this study. The electrochromic device is recently getting attention due to the high requirement of energy saving technology and multi-functioning device. Also, advancement of paired technology such as light-responding mirrors, smart window, light shutter, etc. helps for the increase of needs. Tungsten oxide or nickel-tungsten oxide was deposited on the prepared ITO/ALD/graphene/PET film by PVD. The two different electrodes with electrochromic layer were then laminated together using gel-like electrolyte. The electrochromic cell prepared with ALD layer introduction successfully worked and showed reasonable coloration performance. The electrochemical and optical properties of the ALD introduced electrode showed a feasibility as a transparent flexible graphene electrode.

AA-SuP-74 Teaching Perovskites to Swim: ALD Oxide Overcoating for Liquid Water- and Heat-Resistant Photovoltaics
In Soo Kim, Alex Martinson (Argonne National Laboratory)
Despite rapid advances in conversion efficiency (>22%), the environmental stability of perovskite solar cells remains a substantial barrier to commercialization. Here, we show a striking improvement in the stability of inverted perovskite solar cells against liquid water and high operating temperature (100 °C) by integrating an ultrathin amorphous oxide electron extraction layer via atomic layer deposition (ALD). These unencapsulated inverted devices exhibit a stable operation over at least 10 h when subjected to high thermal stress (100 °C) in ambient environments, as well as upon direct contact with a droplet of water without further encapsulation.
AA-SuP-75 Highly Stable High Mobility Oxide Thin-film Transistor with N2O Plasma Treatment and Gate Insulator by Means of Atomic Layer Deposition
Jong Beom Ko, Kyung Woo Park, Yunyong Nam, Seung-Hee Lee, Sang-Hee Park (Korea Advanced Institute of Science and Technology, Republic of Korea)

The oxide thin-film transistors (TFTs) are actively researched for the driving device of the display, due to their good electrical characteristics and low cost process. The self-aligned (SA) TFT is a good candidate due to their small parasitic capacitance, which have benefit of low RC delay. However, the quality of gate insulator (GI) and their deposition environment must be carefully considered, especially in high mobility condition. The thermal ALD and plasma-enhanced (PE) ALD are the good candidates for the deposition methods of high quality GI. However, the thermal ALD causes hydrogen incorporation to the active and degrade on/off characteristics significantly in high mobility TFTs. While, the PEALD induce plasma damage to the front-channel surface and bias stability can be worse. Therefore, we tried to obtain high mobility TFT with good stability by N2O plasma treatment followed by thermal ALD GI deposition.

We fabricate the TFTs with top-gate staggered structure with different kinds of GI deposition process. The Al2O3 was deposited as the GI by using thermal ALD and PEALD, separately. Another group of TFT was treated by N2O plasma to the active, and deposit GI by means of thermal ALD. As expected, it tends to be conductive when GI is processed by thermal ALD process, due to hydrogen incorporation. While, the TFT with GI by means of PEALD shows good on/off characteristics and turn-on voltage, however, it has hysteresis issue. In contrast, the plasma treated TFT with ALD GI shows on/off characteristics without hysteresis. It shows 30.6 cm2/Vs and 0.096 mV/dec of mobility and subthreshold swing, respectively. It also exhibits good stability characteristic, compare with PEALD GI in PBTS condition. The Vth was shifted about 0.60V in TFT with PEALD GI, however, it shifted only 0.01V in plasma treated TFT with ALD GI, after 7200s of PBTS stress. The plasma damage during the PEALD process may generate more trap site at the front channel interface. Actually, the trap site also can be generate during the plasma treatment, however, it may passivated by hydrogen from H2O reactant during thermal ALD GI process. We found the feasibility of applying thermal ALD process for the GI deposition to the top-gate structured high mobility oxide TFTs by controlling carrier density with plasma treatment.

View Supplemental Document (pdf)
AA-SuP-76 Zinc Oxide Infiltration of Two-Photon Polymerized Structures
Jacek Lechowicz (University of Illinois at Chicago); Leonidas Ocola, Ralu Divan (Argonne National Laboratory); Igor Paprotny (University of Illinois at Chicago)

The properties of zinc oxide (ZnO) have various applications in gas sensing, semiconductors, and optics. Recently, it has been shown that poly(methyl methacrylate) (PMMA) can be infused by ZnO through the process of sequential infiltration synthesis (SiS), allowing for lithographically patterned ZnO/PMMA structures(1).

In this work, we investigate SiS of two-photon polymerized (2PP) polymers with ZnO. X-ray spectroscopy indicates an infiltration depth of approximately 1 mm in IP-L 780 photoresist thin films. Three dimensional (3D) structures infiltrated with ZnO were readily amenable to electron microscopy without the need of conductive coating. Preliminary studies of 2PP 3D structures (25 x 25 x 50 mm) indicate a higher infiltration depth, with distribution of ZnO observed throughout the entire structure, opening the possibility for the application of this method in sensors and photonics.

[1] Ocola, L et al. Infiltrated Zinc Oxide in Poly(methyl methacrylate): An Atomic Cycle Growth Study. The Journal of Physical Chemistry,121 (3), 1893-1903 (2017)

View Supplemental Document (pdf)
AA-SuP-77 Investigating Li-ions Transport Through ALD Al2O3 Coatings on NMC Cathode in a Li-ion Battery
Masihhur Laskar, David Jackson, Shenzhen Xu, Yingxin Guan (University of Wisconsin-Madison); Mark Dreibelbis (Dow Chemicals); Robert Hamers (University of Wisconsin-Madison); Mahesh Mahanthappa (University of Minnesota); Dane Morgan, Thomas Kuech (University of Wisconsin-Madison)

A thin amorphous coating of Al2O3 obtained via atomic layer deposition (ALD) has demonstrated the ability to improve cycle-life for several cathode materials in rechargeable Li-ion batteries [1]. However, due to the insulating nature of Al2O3, the coatings on cathode particles impede the transport of Li-ion and electrons during the battery cycling. Therefore, a large overpotential on the cathode surface can develop leading to significant capacity loss at higher C-rates and for thicker coatings. In this work, we describe a method to estimate the overpotential of amorphous ALD Al2O3 coatings on Li[Ni0.5Mn0.3Co0.2]O2 (NMC) cathode and can be extended to any other coating materials. At 1C-rate (2.062mA), the estimated Al2O3 overpotential is about 0.82 mV/nm yielding an estimation of effective resistivity 6.3 MΩm and Li-ion diffusivity of 1.7x10-14 cm2/s.

We found that the Al2O3 overpotential varies linearly with coating thickness and also with driving current, implying an “Ohmic” behavior. Based on the theoretical model [2], these observations lead to conclude that coatings acts like an electrolyte, consisting of positively charged Li ions and negatively charged electrons. In such a mechanism, Li-ions take part in the ionic transport across coating and the counter-balancing negative charges (electrons) remain trapped in localized electronic states within the coating. Those electrons are originally donated by protons incorporated in the coating resulting from the ALD process and then ion-exchanged with Li+ during battery operation. Since the resistivity is inversely proportional to Li+ concentration, the concentration of the protons in the original coatings determines the resistivity and overpotential value. We support this hypothesis by demonstrating a higher overpotential for Al2O3 coatings of a lower proton concentration. The presence of proton concentration in ALD Al2O3 coatings were confirmed by Rutherford backscattering.

[1] Y. S. Jung, A. S. Cavanagh, A. C. Dillon, M. D. Groner, S. M. George, S. H. Lee, J. Electrochem. Soc. 157, A75-A81 (2010)

[2] S. Xu, R. M. Jacobs, H. M. Nguyen, S. Hao, M. Mahanthappa, C. Wolverton, and D. Morgan, J. Mat. Chem. A 3, 17248-17272 (2015)

Session Abstract Book
(618KB, May 5, 2020)
Time Period SuP Sessions | Topic AA Sessions | Time Periods | Topics | ALD2017 Schedule