AVS 67 Session LI-TuM2: Tuesday Morning Live Session II: Current and Emerging Devices

Tuesday, October 26, 2021 10:00 AM in Room Live-2

Tuesday Morning

Session Abstract Book
(303KB, Oct 26, 2021)
Time Period TuM Sessions | Abstract Timeline | Topic LI Sessions | Time Periods | Topics | AVS 67 Schedule

Start Invited? Item
10:00 AM LI-TuM2-1 Welcome and Opening Remarks
Adriana Creatore (Eindhoven University of Technology, The Netherlands)
Welcome to the AVS 67 Virtual Symposium! We hope you will enjoy the event!
10:05 AM LI-TuM2-2 Aluminum Scandium Nitride Microdevices for Next Generation Nonvolatile Memory and Microelectromechanical Systems
Troy Olsson (University of Pennsylvania)
Aluminum Nitride (AlN) is a well-established thin film piezoelectric material. AlN bulk acoustic wave (BAW) radio frequency (RF) filters were one of the key innovations that enabled the 3G and 4G smart phone revolution. Recently, the substitutional doping of scandium (Sc) for aluminum (Al) to form aluminum scandium nitride (AlScN) has been studied to significantly enhance the piezoelectric properties and to introduce ferroelectric properties into AlN based material systems. The properties achieved have profound implications for the performance of future 5G and 6G RF filters, piezoelectric sensors, piezoelectric energy harvesters, and for scaling the bit density of ferroelectric nonvolatile memories. This talk will present on the synthesis of highly Sc doped AlScN materials of the thickness and quality needed for applications in memory and microelectromechanical systems (MEMS). The material properties achieved will be reported and placed in the context of device specific figures-of-merit and competing material systems. Ferroelectric and electromechanical devices that utilize the unique properties of AlScN to achieve state-of-the-art (SOA) performance will be shown.
10:25 AM LI-TuM2-6 Memristive Devices and Arrays as AI Hardware
J. Joshua Yang (University of Southern California)

Memristive devices have become a promising candidate as the AI hardware core due to their attractive properties(1). AI algorithms can be implemented on a Resistive Neural Network (ResNN) with memristor synapses and neurons or a Capacitive Neural Network (CapNN) with memcapacitor synapses and neurons(2).

For ResNNs as computing accelerators, we have built a dot-product engine based on a 128 x 64 1T1R crossbar array(3)and a 3D crossbar array with 8 layers of memristors(4)using traditional non-volatile memristors. With such computation accelerators, we have demonstrated efficient inference and learning with traditional Machine Learning algorithms(5-7), which is expected to significantly improve the speed and energy efficiency of neural networks.

For ResNNs beyond accelerator applications, we developed diffusive memristors(8)with diffusion dynamics that is critical for neuromorphic functions. Based on the diffusive memristors, we have further developed artificial synapses(8)and neurons(9)to more faithfully emulate their bio-counterparts. We then integrated these artificial synapses and neurons into a small neural network, with which pattern classification and unsupervised learning have been demonstrated(9).

For CapNNs, we have developed pseudo-memcapacitive devices based on the diffusive memristors. Capacitive synapses and neurons enabled by these memcapacitive devices have been developed and used to form a fully integrated CapNN(10), which can implement spiking signal classification and Hebbian-like learning.

  1. 1. Z. Wanget al., Resistive switching materials for information processing. Nature Reviews Materials 5, 173–195 (2020).
  2. 2. Q. Xia, J. J. Yang, Memristive crossbar arrays for brain-inspired computing. Nature materials 18, 309-323 (2019).
  3. 3. C. Liet al., Analogue signal and image processing with large memristor crossbars. Nature Electronics 1, 52 (2018).
  4. 4. P. Linet al., Three-dimensional memristor circuits as complex neural networks. Nature Electronics 3, 225–232 (2020).
  5. 5. Z. Wanget al., Reinforcement learning with analogue memristor arrays. Nature Electronics 2, 115 (2019).
  6. 6. Z. Wanget al., In situ training of feed-forward and recurrent convolutional memristor networks. Nature Machine Intelligence 1, 434-442 (2019).
  7. 7. C. Liet al., Long short-term memory networks in memristor crossbar arrays. Nature Machine Intelligence 1, 49-57 (2019).
  8. 8. Z. Wanget al., Memristors with diffusive dynamics as synaptic emulators for neuromorphic computing. Nature Materials 16, 101-108 (2017).
  9. 9. Z. Wanget al., Fully memristive neural networks for pattern classification with unsupervised learning. Nature Electronics 1, 137-145 (2018).
  10. 10. Z. Wanget al., Capacitive neural network with neuro-transistors. Nature Communications 9, 3208 (2018).
10:45 AM LI-TuM2-10 Etch Processes for Enabling Next Generation Devices
Eric Miller, Indira Seshadri, Tsung-Sheng Kang, Dominik Metzler, Joe Lee, Stuart Sieg, Sebastian Engelmann, Jeffrey C. Shearer, John Arnold, Nelson Felix (IBM Research Division, Albany, NY)

While delivering industry first demonstrations of 7NM (FinFET) and 5NM (Nanosheet) technology nodes, IBM Research has encountered unique challenges that arise as a result of continued device scaling. Dimensional compression drives higher aspect ratios, which in turn drive difficulty with in-feature ion, radical, and volatile species transport during plasma etch. Dimensional scaling now approaches the single digit nanometer scale, and the need for solutions to unique problems exists now more than ever. In the world of plasma etch, which largely led the charge in dimensional scaling with anisotropic patterning, it is now critical to account for a variety of factors to deliver a successful etch process. Etch process development is truly a juggling act of selectivity, anisotropy, by-product control, sidewall profile, and throughput. The continuation of device scaling using extreme ultraviolet light lithography, self aligned double and quadruple patterning, as well as the introduction of three dimensional (nanosheet) devices has introduced a unique set of challenges to be addressed in the coming technology nodes. In this paper, a variety of etch applications, challenges, and innovations will be reviewed with respect to continued device scaling. The functional implementation of quasi-atomic layer etching, plasma etch modulation of line edge/width roughness, and etch selectivity/anisotropy challenges at high aspect ratios will all be explored with the viewpoint of how these impact future semiconductor nodes.

11:05 AM BREAK
11:15 AM LI-TuM2-16 On the Low Deposition Rate and Ionized Flux Fraction in High Power Impulse Magnetron Sputtering
Jon Tomas Gudmundsson, Hamidreza Hajihoseini (University of Iceland); Nils Brenning (KTH Royal Institute of Technology); Martin Rudolph (Leibniz Institute of Surface Engineering (IOM)); Michael A. Raadu (KTH Royal Institute of Technology); Daniel Lundin (Linkoping University)
The deposition rate in high power impulse magnetron sputtering (HiPIMS) is often found to be somewhat lower than that obtained with dc magnetron sputtering (dcMS), generally in the range of 30 - 85 % of the dcMS rates, depending on target material when operating at the same average power [1]. Back-attraction of ions of the sputtered species to the cathode target is probably the main cause for the low deposition rate while some other mechanisms have also been suggested. This includes the nonlinear sputter yield effect, guiding effect of the magnetic field, the increased density of the deposited film, the effect of different ion species on the sputter yield and that the sputtered material are being transported radially outward in the vicinity of the cathode. Some approaches to increase the deposition rate are discussed. We discuss how the magnetic field strength |B| and geometry (degree of balancing) influences the deposition rate and ionized flux fraction Fflux in dcMS and HiPIMS operation both axially [2] and radially [3]. The measured quantities, the deposition rate and ionized flux fraction, are then related to the ionization probability αt and the back attraction probability of the sputtered species βt. We find a significant transport of the film forming material radially or parallel to the target surface for both sputter techniques. This radial deposition decreases with increasing axial distance from the target surface and it is always higher in dcMS than HiPIMS. There are a significantly higher number of ions traveling radially in the HiPIMS discharge. We find that the relative radial flux of the film forming material is greater in dcMS compared to HiPIMS for almost all cases investigated. It is therefore concluded that the commonly reported reduction of the (axial) deposition rate in HiPIMS compared to dcMS does not seem to be linked with an increase in sideways material transport in HiPIMS. We discuss the tradeoff between a high ionized flux fraction of the sputtered species and a high deposition rate referred to as the HiPIMS compromise [4]. [1] M. Samuelsson, D. Lundin, J. Jensen, M. A. Raadu, J. T. Gudmundsson, and U. Helmersson, Surf. Coat. Technol. 202, 591 (2010)[2] H. Hajihoseini, M. Čada, Z. Hubička, S. Ünaldi, M. A. Raadu, N. Brenning, J. T. Gudmundsson, and D. Lundin, Plasma 2, 201 (2019).[3] H. Hajihoseini, M. Čada, Z. Hubička, S. Ünaldi, M. A. Raadu, N. Brenning, J. T. Gudmundsson, and D. Lundin, J. Vac. Sci. Technol. A 38, 033009 (2020).[4] N. Brenning, A. Butler, H. Hajihoseini, and M. Rudolph, J. T. Gudmundsson, T. Minea, and Daniel Lundin, J. Vac. Sci. Technol. A 38, 033008 (2020).
11:35 AM LI-TuM2-20 β-Ga2O3 Resonant Micro/Nanoelectromechanical Systems (M/NEMS)
Xu-Qian Zheng, Philip X.-L. Feng (University of Florida)

Beta gallium oxide (β-Ga2O3) is an emerging ultra-wide bandgap (UWBG) semiconductor (Eg=4.5-4.9 eV). The crystal is outstanding in sustaining high electrical field because of its UWBG, making it attractive for power electronics, high-voltage/power radio frequency (RF), and harsh-environment applications [1]. Based on its UWBG, β-Ga2O3 crystal has an absorption edge around 275 nm, thus the material is intrinsically suitable for solar-blind ultraviolet (SBUV, λ<280 nm) detection [2]. In addition, thanks to the capability of growth from liquid phase, bulk β-Ga2O3 crystals can be cost-effectively synthesized with exceptional crystal quality. Furthermore, β-Ga2O3 possesses excellent Young’s modulus (EY=261 GPa) and speed of sound (c=6600 m/s), suitable for making mechanical devices. The outstanding ensemble of attributes in β-Ga2O3 enables new UWBG micro/nanoelectromechanical systems (M/NEMS) for future electromechanically coupled and tunable β-Ga2O3 electronic, optoelectronic, and physical sensing devices and systems.

Here we present an overview of the β-Ga2O3 mechanical properties characterization in nanomechanical device platforms and development of β-Ga2O3 resonant M/NEMS by describing the recent advances in engineering β-Ga2O3 nanostructures into functional devices and exploration of their device physics. We demonstrate a family of β-Ga2O3 NEMS resonators with resonance frequencies in high frequency and very high frequency (HF/VHF) bands and quality (Q) factors up to 1700 at room temperature. We extract Young’s modulus of 245–261 GPa for thin film β-Ga2O3 in the nanomechanical resonator platform [3]. In additional to basic nanomechanical devices, we demonstrate real-time SBUV light detection using oscillators enabled by β-Ga2O3 resonant NEMS [4]. We demonstrate β-Ga2O3 vibrating channel transistors (VCTs) for electromechanical coupling of the β-Ga2O3 M/NEMS resonators and depict the future design and equivalent-circuit modeling of such devices for >GHz operations [5]. We investigate the operation of β-Ga2O3 nanomechanical resonators in high temperature environment (up to 350 °C) and study its resonance frequency response under different pressures from atmospheric pressure down to ~15 mTorr. Our study facilitates the development and integration of β-Ga2O3 resonant M/NEMS on-chip with β-Ga2O3 electronic circuits, supplementing the rapidly emerging β-Ga2O3 electronics and optoelectronics.

References

[1] M. Higashiwaki, et al., Semicond. Sci. Technol. 31, 034001 (2016).

[2] W.-Y. Kong, et al., Adv. Mater. 28, 10725 (2016).

[3] X.-Q. Zheng, et al., ACS Appl. Mater. Interfaces 9, 43090 (2017).

[4] X.-Q. Zheng, et al., IEEE Electron Device Lett. 39, 1230 (2018).

[5] X.-Q. Zheng, et al., Appl. Phys. Lett. 117, 243504 (2020).

11:55 AM LI-TuM2-24 In-Depth Feasibility Study of Dual Damascene Extension: Patterning, Dielectric Etch and Metallization
Xinghua Sun (TEL Technology Center, America, LLC); Yann Mignot (IBM Research Division, Albany, NY); Christopher Cole, Eric Liu (TEL Technology Center, America, LLC); Jennifer Church (IBM Research Division, Albany, NY); Daniel Santos, Angelique Raley (TEL Technology Center, America, LLC); Stuart Sieg (IBM Research Division, Albany, NY); Peter Biolsi (TEL Technology Center, America, LLC)

As logic metal pitch keeps scaling aggressively, back end of line (BEOL) interconnects continue to push the limits of materials properties and integrations. Current extreme ultraviolet (EUV) single exposure limitation (28P) requires a new robust patterning scheme based on EUV multipatterning and new metallization integrations will likely be required due to the lack of liner/barrier and copper scaling at such small critical dimensions [1, 2].Alternative subtractive metallization scheme are being studied for very advanced node where resistance/capacitance (R/C) simulations shows benefit [1].

A key integration choice to make is whether to extend Damascene dielectric etch or move to subtractive metal etch. For Damascene dielectric, the key challenges are mask pattern assembly and EPE control, low k dielectric line wiggling and damage post etch, and liner/barrier induced high resistance after metallization [3, 4]. Subtractive metal etch presents cost issues, alignment concerns and will likely be implemented solely in first metal level(s) which have the most aggressive pitch scaling targets [3]. In terms of mask assembly, multipatterning is required to form line with a 3 masks level forSADP / cut / block and a 2 color Via mask (DPSAV) to meet design rules [4].

In this report, we present an in-depth study of the feasibility of Dual Damascene extension based on 24nm metal pitch. EUV self-aligned double patterning (SADP) is demonstrated for line and space patterning. With a cut and block flow, it enables an e-testable trench module. We demonstrate double patterned self-aligned via (DPSAV) for via tests. Dielectric reactive ion etch (RIE), including quasi atomic layer etch (QALE) and reduced low k damage etch, is leveraged for the trench and via formation, respectively. Finally, metallization and chemical mechanical planarization (CMP) is successfully demonstrated. This in-depth demonstration provides an important insight into Dual Damascene extension feasibility for future critical metal levels.

[1] T. Nogami, Advanced BEOL Interconnects, IITC 2020.

[2] L. Meli, Proc. SPIE 11609, Extreme Ultraviolet (EUV) Lithography XII, 116090P (2021)

[3] S. Decoster, Et al., Proc. of SPIE Vol. 10589 105890E-1(2018)

[4] A. Raley, Et al., J. of Micro/Nanolithography, MEMS, and MOEMS, 18(1)

12:15 PM LI-TuM2-28 Closing Remarks and Thank You's
Sebastian Engelmann (IBM T. J. Watson Research Center)
Thank you for attending today's session! Please note that our afternoon sessions begin at 12:50 pm EDT. We also look forward to seeing you tomorrow at 10:00 am EDT for a full day of Live Parallel Sessions. Remember to check out the AVS 67 On Demand Sessions which are available in the mobile app and online scheduler.
Session Abstract Book
(303KB, Oct 26, 2021)
Time Period TuM Sessions | Abstract Timeline | Topic LI Sessions | Time Periods | Topics | AVS 67 Schedule