AVS 67 Session EM-Contributed On Demand: Electronic Materials and Photonics Contributed On Demand Session

On Demand available October 25-November 30, 2021

Session Abstract Book
(429KB, Oct 26, 2021)
Time Period OnDemand Sessions | Topic EM Sessions | Time Periods | Topics | AVS 67 Schedule

EM-Contributed On Demand-1 Non-Cu Interconnects - A Supply Chain Perspective
Jimmy Wang, Samson Odunuga, Shakuntala Sundararajan, Shashi Vyas, Scott Semproni, Sean W. King (Intel Corporation); Will Bodman, Chaitanya Chintakalaya, Leonardo Jaraba Bejarano, Farrukh Sohail (Arizona State University)

Due to significant copper (Cu) resistivity size-effects and limitations in scaling the thickness of the associated high resistivity tantalum- (Ta) based diffusion barrier, there has been significant interest in identifying alternative metallization schemes with lower overall effective resistivities. To help identify potential metals with improved resistivity dimensional scaling properties relative to Cu, the product of electron mean free path (λ) and bulk metal resistivity (ρο) has been recently proposed as a potential figure of merit (FOM) based on the semi-classical Mayadas-Shatzkes and Fuchs-Sondheimer equations describing surface and grain boundary electron scattering in metals. Based on this FOM, over a dozen metals have been predicted to have the potential to outperform Cu at reduced geometries. However, there have been relatively few experimental investigations for some of the most promising metals identified (i.e. Ir, Rh, and Os) due to their prohibitive expense.

In this presentation, we address the limited information on platinum group resistivity scaling as well as the costs impeding their investigation and application. For the former, we have performed an expansive thin film resistivity literature search on all the low-λρο FOM candidate metals to robustly establish the resistivity scaling performance of rare platinum group metals (PGMs). For the latter, we have examined the supply chain for PGMs from earth to usable form in semiconductor manufacturing (i.e. sputter target, organometallic precursor, …) to assess factors that influence pricing as well as search for opportunities to drive future cost reductions. Through the combined examination of a broader thin film resistivity dataset and associated supply chain considerations, we identify and suggest metals that may merit greater consideration as well as methods to potentially improving their economics for use in the semiconductor industry.

EM-Contributed On Demand-4 Designing Transition Metal Doped Lithium Fluoride Composite Cathode Materials For Li-Ion Batteries
Clifford Denize, Samuel Danquah, Jacob Strimaitis (Center for Materials Research Norfolk State University); Carl Bonner (Chemistry Department Norfolk State University and Center for Materials Research Norfolk State University); Sangram Pradhan, Makhes Behera (Center for Materials Research Norfolk State University); Messaoud Bahoura (Engineering Department Norfolk State University and Center for Materials Research Norfolk State University)

Lithium-ion batteries are ever-present in our everyday lives in cell phones and laptops. Improving cathode materials is one of the ways to satisfy the need for a better energy storage solution. Developing new types of positive electrode materials to increase the cell voltage and capacity with improved stability is the best way towards the development of next‐generation Li-ion rechargeable batteries. To achieve this goal, understanding the principles of the materials and recognizing the problems confronting the state‐of‐the‐art cathode materials are essential prerequisites. Fluoride-based conversion high‐energy cathode materials can be used to build next‐generation lithium‐ion batteries. FeF3 andCuF2 show a theoretical specific capacity value of 713 mAh/g, and 527.17 mAh/g, respectively. Similarly, Li-FeF3 and Li-CuF2 show a higher theoretical energy density of 900 Wh/kg. The insulating nature of LiF and limited splitting of LiF during charging are some of the challenges for lithiated fluorides.Lithiated fluorides also show some issues due to the lack of a presence of built-in Li source, poor capacity retention, and poor rate performance. To overcome these issues, we incorporate Fe, a transition metal with higher electrical conductivity, into LiF to form a composite material. A highly conformal and smooth surface area of transition metal lithiated fluoride composite thin film sample was achieved using pulsed laser deposition, both with and without annealing. To establish a baseline of performance, coin cells of slurry-made composites were created and compared to the thin-film counterparts. We expect the thin-film-based batteries to show a superior performance due to the improved uniformity and homogeneity of the composition and morphology. Structure and surface morphology of the thin film will be carried out using XRD, FESEM, and AFM. Electrochemical characterizations will include charge/discharge profile of battery assembly and cyclic voltammetry. This research finding will shed the light on the use of transition metal-doped LiF as promising cathode materials with improved rate performance and capacity retention.

Funder Acknowledgement(s): This work is supported by Sandia National Laboratories (Contract Agreement # 2175640), NSF-CREST Grant number HRD 1547771, and NSF-CREST Grant number HRD 1036494.

Faculty Advisor: Messaoud Bahoura, mbahoura@nsu.edu [mailto:mbahoura@nsu.edu]
EM-Contributed On Demand-7 Physical Property Improvement of Atomic Layer Deposited Thin-film Interconnects
Ajit R. Dhamdhere, Ben Nie, Hyun Chol Cho, Hae Young Kim (Eugenus, Inc.)

Current electronic devices are gradually being based on 3-dimensional (3-D) complex structures of thin films to meet the growing demand for higher device density and speed. The high aspect ratio 3-D device structures together with rising thermomechanical stresses have put increasing demand for the alternative interconnect materials with superior mechanical and physical properties. Titanium Nitride (TiN) thin films are being widely used in the microelectronics industry as interconnects. Structural failure of TiN in 3-D high-aspect ratio electronic devices is a major concern for reliability and yield of electronic devices. The failure modes such as defects, bending, buckling have been observed to cause by inadequate physical properties of TiN film such as hardness, and modules of TiN film. In this work we show that by systematically adding SiN into TiN in the Atomic Layer Deposition (ALD) process, thin films of composite material TixSi(1-x)N grown show superior hardness and modulus compared to TiN thin films of similar thickness. ALD coatings of TixSi(1-x)N also have excellent step coverage and superior surface smoothness compared to TiN films.

TixSi(1-x)N films were deposited using Eugenus ALD deposition system by varying TiN:SiN ratio and SiN pulse duration. The films were characterized by various characterization techniques: ellipsometry, XRR, XRD, XPS, Nanoindentation, AFM, and TEM. It is observed that the deposited TixSi(1-x)N films maintained good crystallinity up to 10% Si doping. As Si doping % increase in the TiN matrix, the texture of TixSi(1-x)N thin films shift from (111) orientation to (002). Average crystallite size is measured by applying Scherrer analysis to the XRD peaks. The crystallite size decreases as Si content increased. By increasing Si percentage in the TixSi(1-x)N films improvement in hardness up to 38 GPa (TiN~18GPa) is observed. This increase in hardness is likely due to the grain-boundary hardening effect. The higher the Si%, the smaller the growth of TiN grains, hence higher the density of grain boundaries. Any movement of grains under external force becomes very difficult because of such network of grain boundaries, hence effectively rise in the hardness and modulus. Modulus of TixSi(1-x)N films increased as high as 350 GPa (TiN~170 GPa) by the addition of Si. TixSi(1-x)N films show excellent uniformity and good step coverage (> 90%) as measured using cross-sectional TEM images of HSC device structures. TixSi(1-x)N films (RMS Roughness 0.27 nm) show superior surface smoothness compared to TiN films (RMS Roughness 0.67 nm).

View Supplemental Document (pdf)
EM-Contributed On Demand-10 Wafer-Scale Fabrication of Nanostructured Carbon Thin Film-Based Electronic Devices
Zhigang Xiao, Lauren Williams, Jhamaree Elam, Abram Jones, Qunying Yuan (Alabama A&M University)

we report an approach to grow nanostructured carbon thin films using a pair of AC-biased copper electrodes and fabricate carbon thin film field-effect transistors (CFETs). Graphite rod was evaporated in an ultrahigh-vacuum chamber using e-beam evaporation method, and nanostructured carbon thin films were grown between a pair of AC voltage-biased copper electrodes at a temperature of 400 oC. The electrodes with the carbon film were finally fabricated into the carbon thin film field-effect transistor (CFET), where the carbon thin film between the electrodes functioned as the channel of the transistor. The carbon thin film was analyzed with high-resolution tunneling electron micrograph (HRTEM), showing wiggling nanostructures in it. The electrical property of the fabricated CFET was measured before and after subject to an electrical breakdown, demonstrating much better electrical current (I)-voltage (V) curves and transfer characteristics with on/off current ratios of over 200 after the electrical breakdown process. The growth of nanostructured carbon film is novel, and the fabrication of CFET is compatible with the silicon-based semiconductor fabrication and can be wafer-scale.

EM-Contributed On Demand-13 Laser Induced Thermal Emission from Nickel Nanowires
Ana Silva (Cefitec, Physics Department, FCT, Universidade Nova de Lisboa); Kjeld Pedersen (Aalborg University)

Over the past two decades, there has been considerable progress in engineering the spectrum, directionality, polarization and temporal response of thermally emitted light using nanostructured materials [1].

Laser induced emission from self-assembled nickel wires, between 125-200 nm in diameter and tens of micrometers long, prepared by electrochemical deposition, emits strong infrared light when excited with a cw Ar+ ion laser at 488 nm or a pulsed femtosecond Ti: Sapphire laser at 800 nm. The emission spectra from aggregates of Ni nanowires (NWs) heated by the absorption of laser light are investigated as a function of the power of the excitation laser. The emitted intensity increases exponentially with laser power which is taken as an indication of a thermal process. Through time response of the emission to a time varying laser excitation, the local temperature variation of the nanowires is determined. The radiation from the nanowires is describe by the classical Planck law modified by finite-size effects in nanoparticle emissivity. Similar emission spectra are observed for the two types of lasers. Theoretical modelling based on Mie’s theory[2] and Planck’s radiation law, using nanowires and nanocrystals of different sizes, is developed to fit the emission spectra.

The emission grows exponentially with pump power and over time the emission decays. The results point to thermal radiation process, modulated by size-effects, and strongly influenced by local plasmon modes (hot spots) that may lead to very large electric fields enhancements.

[1] Denis G. Baranov et al., “Nanophotonics engineering of far-field thermal emitters”, Nature materials, 18, 920-930 (2019).

[2] C.F.Bohren, D.F. Huffman, “Absorption and Scattering of Light by Small Particles”, John Wiley and Sons, 1983.

Acknowledgments

The author acknowledges Portuguese Science and Technology Foundation FCT UIDB/FIS00068/2020. Ana Silva acknowledge Aalborg University, Physics and Nanotechnology Department for the excellent experimental conditions made available.

View Supplemental Document (pdf)
EM-Contributed On Demand-16 Wake up and Endurance of Ferroelectric Hf0.5Zr0.5O2 on NbN and Nb
David Henry (Sandia National Laboratories); Shelby Fields (University of Virginia); Sean Smith, Paul Davids (Sandia National Laboratories); Jon F. Ihlefeld (University of Virginia)

This work investigates the wake up and endurance of ferroelectric behavior of atomic layer deposited (ALD) hafnium zirconium oxide (HZO) using reactively sputtered niobium nitride and niobium electrodes. With ferroelectricity in doped HfO2now advancing into CMOS based devices, perturbations of the dopants has expanded from silicon into mixtures utilizing yttrium and zirconium and with electrodes ranging from platinum to tungsten and nitrides such as titanium nitride and tantalum nitride. New to the set of materials able to, in-part, stabilize the orthorhombic phase are other superconducting electrodes such as NbN and, in this work, Nb which we use to demonstrate stable ferroelectric behavior of Hf0.5Zr0.5O2. With the atomic similarities between Ta and Nb, a natural extension the electrode materials’ set to include NbN was explored in this work for the wake up and endurance properties.

Metal/Ferroelectric/Metal (MFM) capacitors tested at room temperature (RT) demonstrated ferroelectric behavior as determined by polarization vs. electric field (P-E) loops, remanent polarization, and capacitance vs voltage (C-V) measurements. Devices were made with either Nb or NbN bottom electrodes (BE), an ALD mediating layer of alumina, ALD HZO, and then a top electrode (TE) layer of NbN. Wake up cycling suggested a pristine state of tetragonal phase mixed with orthorhombic, as measured by P-E loops and C-V curves and moves to a more orthorhombic phase after modest wake up, for both a Nb and NbN BE. However, the Nb BE had only about half of the remanent polarization, 7 uC/cm2, as to that of NbN BE at 13 uC/cm2 suggesting that although an intermediate layer of alumina separated the HZO from the BE, the BE plays a critical role in HZO phases and electrical performance. Further, this work shows that with a NbN bottom electrode, a wake up of positive or negative pulses only contribute to full remanent polarization, 3 and 5 uC/cm2 respectively whereas a full negative to positive of the same electric field generates a 7 uC/cm2This work will discuss the implications of these wake up measurements, discuss life time cycling of these devices and compare to other electrodes.

Understanding the wake up and endurance of ferroelectric HZO on superconducting electrodes play into the exciting field of integration of ferroelectric thin films with superconducting films.

EM-Contributed On Demand-19 Flexible Transition Metal Dichalcogenide Devices for Environmental Sensors and Energy Harvesting
Alwin Daus, Koosha Nassiri Nazif, Sam Vaziri, Asir I. Khan, Ryan W. Grady, Victoria Chen, Connor S. Bailey, Hye Ryoung Lee, Cagil Koroglu, Kevin Brenner, Kirstin Schauble, Aravindh Kumar, Krishna Saraswat, Eric Pop (Stanford University)
Atomically thin transition metal dichalcogenides (TMDs) show promise for flexible devices such as sensors, energy harvesters and nanoelectronics [1-3], because they can detect factors important for environmental sensing such as temperature, humidity, gas, pressure and light [1, 2]. Furthermore, nanoscale TMD field-effect transistors (FETs) enable low-power processing of sensor data and wireless communication like radio-frequency identification [3]. We recently developed a novel transfer process for TMDs, enabling high-performance flexible nanoscale FETs [4]. In this work, we employ this transfer process to demonstrate monolayer MoS2 temperature sensors and multilayer WSe2 solar cells.

We have previously found that gated monolayer MoS2 exhibits a high temperature coefficient of resistance (TCR) of +0.27 %/K compared to ultrathin metal films [5], and could enable sensors with low thermal mass due to their three-atom thinness. Here we fabricate flexible two-terminal (ungated) monolayer MoS2 temperature sensors and obtain even larger (in absolute value) but negative TCR of -1.8 %/K between 30°C and 80°C. Preliminary analysis indicates that here space-charge limited current with shallow traps leads to the negative TCR, rather than phonon scattering causing a positive TCR in gated MoS2. Furthermore, the sensors reveal a rapid real-time response of at least 150°C/min (limited by our heater stage) and reversibility.

In addition, the excellent optical absorption properties of TMDs and their near-ideal band gaps for single-junction and tandem solar cells (with Si) make this technology attractive for powering Internet-of-Things sensors at an ultrahigh specific power (>50 kW/kg), especially in wearables and environmental sensing systems [2, 6, 7]. We fabricated flexible WSe2 solar cells with graphene top electrodes and MoOx doping/passivation layer, where the whole active material is embedded within the flexible substrate, enabling a vertical cell architecture. We achieve a record-high power conversion efficiency of ~5%, while stable under mechanical bending to a radius of 4 mm. Concluding, this work provides important ingredients for flexible electronic systems where all active components benefit from the unique properties of atomically thin TMDs.

Ref.: [1] L. Gao, Small, 13, 1603994 (2017). [2] D. Jariwala et al., ACS Photonics, 4, 2962 (2017). [3] D. Akinwande et al., Nat. Commun., 5, 1 (2014). [4] A. Daus et al., Nat. Electron., (accepted). [5] A.I. Khan et al., Appl. Phys. Lett., 116, 203105 (2020). [6] K. Nassiri Nazif et al., Nano Lett., 21, 3443 (2021). [7] C.M. Went et al., Sci. Adv., 5, eaax6061 (2019).

View Supplemental Document (pdf)
EM-Contributed On Demand-22 2020 AVS Graduate Research Award Talk: Monolithic Integration of Crystalline III-Vs on Amorphous Substrates using a Combination of Epitaxial and Non-epitaxial Methods
Debarghya Sarkar, Rehan Kapadia (University of Southern California)

A primary challenge for 3D integration and flexible electronics is the ability to integrate high performance devices at temperatures limited by the thermal budget of the substrate or pre-existing device layers. Present approaches mostly involve hybrid bonding techniques where epitaxial films are first grown on lattice-matched substrates and then transferred to the host substrate at a device scale, circuit scale, chip scale, or wafer scale. Although the devices made using these approaches are of excellent quality, this approach is usually limited by cost, time, limited materials, and scalability perspectives. Monolithic integration approaches attempt to directly grow materials on the host substrate, but device performance is usually poor from solution-based or vapor-phase grown semiconductors on non-epitaxial substrates which give submicron-scale grain polycrystalline films. Here we show results from a liquid-vapor-phase growth approach, referred to as Low Temperature Templated Liquid Phase (LT-TLP) growth. Templates of group III materials capped with SiO2 are first realized on the non-epitaxial substrate by lithography, evaporation, and liftoff methods. These are then heated in the growth furnace at the intended growth temperature (between 200 to 400 0C), and group V precursor is introduced in the gas phase as pre-cracked V-hydride. The flux of the group V precursor is controlled to ensure single nucleation in each template, which grows with time to yield single crystal III-V in each template, confirmed by electron backscatter diffraction (EBSD) imaging. Photoluminescence measurements for different growth temperatures give an optimal growth window of 280-320 0C, where optoelectronic quality is found to be comparable to single crystal commercial wafer. InAs grown at 300 0C shows room temperature mobility of ~6000 cm2/V-s. Comparing the highest electron mobilities reported from different material families grown directly on amorphous dielectric surfaces, it is seen that TLP III-Vs have the best mobilities, with LT-TLP InAs being about 2 orders of magnitude higher than the majority. These low temperature growths have been performed on rigid dielectric substrates like SiO2 and HfO2, as well as on flexible polyimide. Further, these high quality single crystalline mesas have been used as growth seeds for epitaxial films by MOCVD. Growth parameter variations are studied to obtain the best MOCVD InP-on-TLP InP morphology and optoelectronic properties. This potentially opens up a scalable and cost-effective method of integrating high quality III-V materials and devices on inexpensive amorphous dielectric surfaces for 3D integration.

EM-Contributed On Demand-25 Evaluation of the Near-Zero Temperature Coefficient of Resistivity (NZ-TCR) of ALD TiSiXN Films
Corbin Feit, Sasha Novia Berriel (University of Central Florida); Ajit Dhamdhere, Hae Young Kim, Ben Nie, Srishti Chugh, Somilkumar J. Rothi, Niloy Mukherjee (Eugenus, Inc.); Parag Banerjee (University of Central Florida)

Atomic Layer Deposition (ALD) of ternary TiSixN leads to nanocomposites of metallic TiN atomically mixed with insulating Si3N4. Formulating TiSixN films with various Ti:Si ratios lead to the emergence of a temperature regime where resistivity is independent of thermal drift, denoted as near-zero temperature coefficient of resistivity (nz-TCR).1 Further, the ease with which nanocomposites of TiSixN can be deposited using ALD offer precise tunability in Ti:Si ratio, thickness, mass density, crystallinity and electrical properties.

Recently, our group explored TiSixN films deposited using a Eugenus® 300 mm commercial QXP mini-batch system by modulating the ratio of Ti and Si precursors with NH3 as a co-reactant.Si-content was varied from 0 at % (pure TiN) to 24.2 at % Si while maintaining thickness ~ 140 nm. The X-ray reflectivity and grazing incidence X-ray diffraction measurements showed a reduction in film density and transition from nano-crystalline to pure amorphous phase with increase in Si-fraction. Spectroscopic ellipsometry revealed the optical constants, composition, and electrical resistivities and were supported by X-ray photoelectron spectroscopy and electrical measurements. Room-temperature resistivity measurements show an increase in film resistivity with increasing at % Si. Temperature-dependent Van der Pauw measurements found a nz-TCR of -23 ppm K-1 in the temperature range of 298 K – 398 K and at 3.4 at % Si content.

We have now discovered that an at % Si = 3.0% induces a nz-TCR of -5.7 ppm K-1 from 80 K – 420 K – one of the best reported nz-TCR values for ALD thin films. Fine tuning the at % Si in TiSixN films, possible only via ALD, significantly elongated the temperature window of nz-TCR behavior. Mapping the local conductivity of individual grains through conductive atomic force microscopy (c-AFM) indicated higher resistance at the grain boundaries. The local composition at the grain boundaries may play a major role in determining the nz-TCR behavior of TiSixN films. In addition, variable temperature Hall effect measurements were performed to provide deeper insights into the nz-TCR mechanism, decoupling carrier concentration from carrier mobility effects while determining film resistivity.

Compared to other nz-TCR films, which are deposited using physical vapor deposition techniques, ALD based nz-TCR films presents a unique synthesis platform for interconnect technology in topologically complex, 3D devices, circuits and sensors that undergo large temperature variation during operation but need to maintain stability in their electrical characteristics.

EM-Contributed On Demand-28 Berry Curvature Memory Through Stacking Transitions in Topological Semimetals
Jun Xiao (Stanford University); Ying Wang (UC Berkeley); Hua Wang (Texas A&M University); C.D. Pemmaraju (SLAC National Accelerator Laboratory); Siqi Wang (UC Berkeley); Philipp Muscher, Edbert Sie, Clara Nyby, Thomas P. Devereaux (Stanford University); Xiaofeng Qian (Texas A&M University); Xiang Zhang (UC Berkeley); Aaron Lindenberg (Stanford University)

Quantum materials with novel phases of matter are the key building blocks of energy-efficient quantum electronics and powerful quantum computation. Exploiting control of those materials is fascinating to achieve new functionalities and information algorithms in future quantum devices. Quantum nanomaterials like layered materials have revealed many exotic properties such as extremely large magnetoresistance (MR)1, type-II Weyl electron transport, and diverging Berry curvature2. On the other hand, the nature of layered materials leads to ultra-large tunability of physical properties via external stimuli.

Here we report the manipulation of quantum geometrical properties in a ferroelectric semimetal (WTe2) belonging to layered Weyl materials (Fig. 1). With such control and various characterization means, we observed substantial modulation in optical and electrical responses associated with the unique stacking orders in such exotic ferroelectric semimetal. Further nonlinear Hall transport measurements show the observed transitions are locked with the variation of topological and geometrical property (Fig. 2). Our findings demonstrate a new low-energy cost, electrically controlled topological memory in the atomically thin limit3.

  1. Ali, M. N. et al. Large, non-saturating magnetoresistance in WTe2. Nature514, 205–8 (2014).
  2. Armitage, N. P., Mele, E. J. & Vishwanath, A. Weyl and Dirac semimetals in three-dimensional solids. Rev. Mod. Phys.90, 015001 (2018).
  3. Xiao, J. et al. Berry curvature memory through electrically driven stacking transitions. Nat. Phys.16, 1028–1034 (2020).
View Supplemental Document (pdf)
EM-Contributed On Demand-31 Metal-Semiconductor Contacts to β-Ga2O3: Dependence on Metal Work Function and Crystallographic Surface Plane
Luke Lyle, Kunyao Jiang, Elizabeth Favela, Yao Yao (Carnegie Mellon University, USA); Kumar Das (North Carolina State University); Zbigniew Galazka, Andreas Popp (Leibniz Institute for Crystal Growth); Lisa Porter (Carnegie Mellon University, USA)
Because of its ultra-wide bandgap (~4.8 eV), full range of n-type doping, and availability as single-crystal substrates produced from melt-growth methods, β-Ga2O3 is being intensively pursued for electronic devices that could substantially increase device operating limits for voltage and power. The successful device development of any semiconductor technology requires the ability to produce suitable ohmic and rectifying (Schottky) contacts.In the case of β-Ga2O3, most metals tend to naturally form Schottky contacts, whereas few metals have been established as ohmic contacts to this semiconductor.Our investigations of numerous metal contacts have also shown significant differences for different Ga2O3 surfaces.For example, Schottky barrier heights of metals on (-201) Ga2O3 showed little to no dependence on the metal work functions, suggesting significant Fermi level pinning.In contrast, Schottky barrier heights for metals on (100) Ga2O3 showed a strong correlation with the metal work functions: e.g., the slope S was 0.96 and 0.61 for the I-V and C-V determined Schottky barrier heights, respectively.For ohmic contacts to Ga2O3, we found that the metal work function is not a dominant predictive factor; whereas interfacial reactions and contact morphology play important roles in ohmic contact formation.Results and analyses from electrical measurements of many different metals that formed ohmic or Schottky contacts to (-201) and (100) β-Ga2O3 will be presented and discussed.
EM-Contributed On Demand-34 Effect of Cobalt Doping on Structural, Magnetic and Ferroelectric Properties of Bismuth Ferrite Thin Films Grown Epitaxially on SrTiO3 (001)
Chhatra Raj Joshi, Mahendra Acharya, Md Sariful Seikh (The University of Alabama); John Plombon, Urusa Alaan, Tanay Gosavi (Intel Corporation); Arunava Gupta (The University of Alabama)

BiFeO3 (BFO), the most extensively studied room temperature multiferroic material with Néel temperature TN ~ 640K and Curie temperature TC ~ 1100K, shows strong coupling between antiferromagnetic and ferroelectric order parameters. BFO could be a potential candidate to be used in magnetoelectric logic and memory devices due to the presence of this strong coupling. However, overcoming high leakage current, high switching voltage and low remnant magnetization are the biggest challenges for its device applications. Materials with lower coercive voltages Vc are desired to reduce the operating voltage for lower power consumption as well as to avoid thermal decomposition of the material in thin film form. With Fe-site substitution by magnetic element Co, the spin structure of BFO is indeed modified and a canted collinear phase with weak ferromagnetic moment at room temperature is stabilized. Also, doping with Co can lead to changes in the crystal structure that possibly affect its ferro/piezo-electric properties. BiFe1-xCoxO3 (xBFCO) with different x values could be a suitable material with enhanced magneto-electric properties for device application.

Epitaxial films of multiferroic BiFe1-xCoxO3 (0 ≤ x ≤ 0.35) are grown on SrTiO3 (001) and SrRuO3 buffered SrTiO3 (001) using pulsed laser deposition technique. The effect of Co substitution on the crystal structure, magnetic properties and piezo/ferro electric properties are investigated. The XRD analysis indicates that the phase-pure xBFCO has been synthesized for (0 ≤ x ≤ 0.35) without any secondary impurity phases. As the Co concentration increases, the films are stabilized as tetragonal phase BiCoO3-like structure. The semi-empirical Kay-Dunn scaling law of coercive voltage (Vc) with thickness (t) (Vc ~ t1/3) for different concentrations of cobalt are studied using piezo force microscopy (PFM) technique. Here we demonstrate that within the rhombohedral structure, systematic reduction of the coercive voltage can be achieved by increasing substitution of Fe by cobalt on BFO. These results suggest a possible pathway to realize BFO-based non volatile magneto-electric memory devices with relatively lower operating voltages. Moreover, to understand the effect of Co doping on the structural, magnetic and the electronic properties, we have performed theoretical calculations using density functional theory (DFT). The results indicate that a reduction in unit cell volume, enhancement in net magnetization and reduction in the band gap can be achieved by Co doping of BFO.
EM-Contributed On Demand-37 High-Quality Molybdenum Nitride Schottky Diodes to n-Type Gallium Nitride
Alex Molina, Ian Campbell, Timothy Walter, Ama Agyapong, Suzanne Mohney (Pennsylvania State University)

Although silicon (Si) currently dominates the semiconductor industry, its small band gap (1.1 eV) limits its maximum operating temperature, restricting its use in high-temperature, high-power devices. Gallium nitride (GaN) is an attractive semiconductor with its wide bandgap (3.4 eV), high electron mobility, large critical breakdown field, and thermal stability. While the semiconductor itself can endure harsh operating conditions, the reliability of the metal/semiconductor contacts can be a limiting factor for its use. Schottky contacts should provide a high barrier height and low reverse leakage current, and they must be electrically stable over the lifetime of the device.

The MoNx/n-GaN Schottky diode was chosen for study because of the reported high work function of MoNx (5.33 eV)1 and its conductive and refractory nature. It is also reported to be in thermodynamic equilibrium with GaN2. Films were deposited by plasma atomic layer deposition and were examined by x-ray photoelectron spectroscopy (XPS), grazing incidence x-ray diffraction (GIXD), and transmission electron microscopy (TEM) with energy dispersive spectroscopy (EDS) to determine their composition and structure. TEM reveals an abrupt interface between MoNx and n-GaN with a cubic phase that is further confirmed with GIXD and EDS. XPS show a significant amount of carbon within the cubic phase. The barrier heights were investigated using current-voltage (I-V) and capacitance-voltage (C-V) measurements. Both techniques demonstrated that the barrier height increased after an anneal at 600°C for 5 min, yielding a barrier height of 0.87 eV with an ideality factor of 1.02 by I-V measurements, while the C-V measurements revealed a barrier height of 0.94 eV. Rectifying behavior was maintained upon annealing in N2 at 700°C.

Future work will involve stress testing followed by materials characterization to provide more information on stable metallizations for high-power GaN devices. This work was funded by the Office of Naval Research under Grant N000141812360, distribution A, approved for public release, distribution is unlimited (DCN# 43-8038-21).

1. H. Matsuhashi and S. Nishikawa, Jpn. J. Appl. Phys., 33, 1293, (1994).

2. H. S. Venugopalan and S. E. Mohney, Z Metallkd.,89, 184-186, (1998).

EM-Contributed On Demand-40 Area-Selective Deposition/Patterning of Boron Carbide Layers with Atomic Layer Deposition
Raja Sekhar Bale, Rupak Thapa, Lauren M. Dorsett, Stefan C. Wagner, Anthony N. Caruso (Department of Physics and Astronomy, University of Missouri- Kansas City); Jeffery D. Bielefeld, Sean W. King (Intel Corporation); Michelle M. Paquette (Department of Physics and Astronomy, University of Missouri- Kansas City)

The semiconductor industry is pushing its boundaries in device scaling technology by way of novel processing methods and increasingly complex patterning schemes. This requires a variety of functional and patterning-assist materials as well as advanced deposition techniques. For years, Si-based materials have been used to meet these needs; however, these alone cannot fulfill the range of material requirements moving forward. Boron carbide has shown promise due to compelling dielectric, thermal, mechanical, chemical, and etch properties. Toward applying this material to next-generation integration schemes, we have been exploring the potential of going beyond traditional growth processes (e.g., plasma-enhanced chemical vapor deposition) and investigating innovative area selective atomic layer deposition (AS-ALD) strategies. Herein we explore schemes for the selective metal/dielectric deposition of boron carbide using layer-by-layer methods. X-ray photoemission spectroscopy (XPS), scanning electron microscopy (SEM) and atomic force microscopy (AFM) techniques are employed for characterization and imaging of the resulting surfaces.

EM-Contributed On Demand-43 Carbon Nanotube Templated EUV Windows
Scott Olsen, David Allred, Steve Turley, Richard Vanfleet (Brigham Young University)
Extreme Ultraviolet (EUV) windows are difficult to achieve due to high opacity of materials in the EUV range. Traditional hollow cathode sources are windowless. However, windowless source are not acceptable in many applications due to downstream instruments needing low pressures to operate. We have developed carbon nanotube (CNT) templated structures with high open area up to 85% for good optical transmission and a corresponding low conductance less than 6 L/s to restrict gas flow. The structure was developed using common lithography techniques for patterning and CVD for CNT growth and infiltration. Observing the intensity distribution of a helium hollow cathode plasma for visible and 58.4 nm at 0.25-2 torr and 75-1325 mA through an aperture provides an empirical model of the light source. The intensity distribution due to a CNT structure mounted to the aperture is determined and discussed.
EM-Contributed On Demand-46 Low Temperature Fabrication of Cathodes for Lithium Thin Film Batteries
Wyatt Tenhaeff (University of Rochester)

With the continued evolution of microelectronic devices and their miniaturization, energy storage devices with reduced dimensions, especially Li-ion batteries, are critically needed. Solid-state lithium thin-film batteries (TFBs) offer exceptional energy storage performance with high energy density, long cycle life, and enhanced safety. The current-state-of-the-art thin film cathode, LiCoO2 (LCO), offers a charge storage capacity of 67 µAh cm-2 µm-1 (equivalent to 135 mAh g-1). Because the overall energy density of TFBs is largely determined by the thin film cathode, developing materials with higher specific capacity is a key strategy to enhancing the stored energy. In this talk, our efforts to engineer novel, energy dense thin film cathodes prepared at low temperatures will be discussed.

The first project to be discussed utilizes polymeric charge-transfer complexes. These complexes are formed through the vapor phase infiltration of I2 or ICl into poly(4-vinylpyridine) (P4VP) films prepared by initiated chemical vapor deposition (iCVD). iCVD enables room-temperature synthesis of well-defined films directly on the surface of Pt current collectors. After infiltration with I2 or ICl, the cathodes are coated nominally with 1 μm of lithium phosphorous oxynitride (LiPON) electrolyte and an evaporated Li metal anode. The P4VP-I2 and P4VP-ICl deliver capacities of 8.7 and 36.2 µAh cm-2 µm-1, respectively, with an average voltage of 2.2V and 3.15V. The cathodes appear to be irreversible under normal operating conditions, limiting their application to primary electrochemical cells. However, it has been shown that these cathodes are readily prepared on flexible, temperature sensitive substrates, as well as complex, three dimensional architectures, which should allow them to be readily integrated into wearable, low cost devices and enable additional energy-intensive applications.

In the second project, a class of lithium metal oxide cathode materials are prepared by RF magnetron sputtering and incorporated into solid state thin film batteries (consisting of LiPON electrolyte and Li metal anode). Charged to 4V vs. Li/Li+, specific capacities in excess of 110 mAh cm-2 µm-1 have been achieved, which is 64% larger than state-of-the-art LCO cathodes. When cycled at a rate of 0.6C (100 minutes for charge/discharge), 83% of the maximum capacity is retained. Moreover, the cathodes are highly reversible with coulombic efficiencies in excess of 99.8%, resulting in greater than 94.7% capacity retention over 100 galvanostatic charge/discharge cycles. Efforts to further enhance rate performance and cycle lives will be discussed, in addition to demonstrations of these cathodes on flexible, polymeric (temperature sensitive) substrates.

EM-Contributed On Demand-49 Pressure Increases Power Conversion Efficiency and Interlayer Diffusion in Perovskite Solar Cells
Deborah Oyewole, Juan-Martin Hinostroza-Tamayo, Zoe Mutton, Oluwaseun Oyewole, Winston Soboyejo, Nancy Burnham (Worcester Polytechnic Institute)

To be a commercial success, perovskite solar cells must not only be efficient relative to their cost, but also stable over time. Recent work demonstrates that the power conversion efficiency of methylammonium-chloride-lead-iodide (MAPbI3-xClx) perovskite solar cells (PSCs) can be increased by approximately 40% by applying up to 7 MPa of pressure. [1] The accompanying SEM images before and after the application of pressure show improved contact at the interface between the compact TiO2 and the fluorine-doped tin oxide.In subsequent layer-by-layer deposition, the interface roughnesses were in the range of 10 to 40 nm RMS as measured by AFM.Initial results from energy dispersive spectroscopy demonstrate significant interlayer diffusion and cracking in pressurized solar cells, particularly of iodine, lead, and tin, but limited diffusion and much lower incidence of cracking in unpressurized devices.The devices with higher diffusion and cracking had lower power-conversion efficiencies and lower stability.[2]Diffusion was also studied as a function of annealing time and temperature.We will discuss the competition between improved contact and diffusion/cracking in pressurized PSCs and their implications for the improvement of long-term power conversion efficiency.

  1. Oyelade, O. V., et al. "Pressure-Assisted fabrication of perovskite Solar cells." Scientific reports 10.1 (2020): 1-11.
  2. Oyewole, D., et al. “Pressure-Induced Void and Crack Closure Improve the Photoconversion Efficiency and Stability of Perovskite Solar Cells,” submitted
EM-Contributed On Demand-52 Enhanced Luminescence of SioX /Sio2 Multilayers Structures Obtained by Sputtering Technique
Alma Lizet Valdez, Karim Monfil (Research Center of Semiconductor Devices); Alfredo Morales (bNational Institute of Astrophysics, Optics and Electronics); Francisco Morales (Center investigated of optics A.C); Francisco Uribe, Jose Alberto Luna, Zaira Hernández (Research Center of Semiconductor Devices); Ana Luz Muñoz (Electronics Faculty. Meritorious University Autonomous of Puebla)

In particular, the non-stoichiometric silicon oxide (SiOx) has been proposed as a cheap and effective alternative to develop ultraviolet absorbers or light emitters. SiOx films can be deposited by several deposition techniques but they can be obtained at Room Temperature by Sputtering deposition technique. New devices based on SiOx films include different structures of stacked films like multilayers or superlattices.

This work presents a study of the optical, structural and electrical properties of non-stoichiometric silicon oxide on silicon oxide (SiOx /SiO2) multilayers obtained by sputtering deposition technique. Non-stoichiometric silicon oxide films were deposited using a combination of Silicon and quartz (SiO2) targets. Therefore, the study of the optical and structural properties of non-stoichiometric silicon oxide films by using silicon and silicon oxide targets is an interesting route for the design of optoelectronic devices based on Silicon technology. The SiOx/SiO2 multilayer structure was formed by five stacked bilayers with the different power application on target silicon.All samples were deposited on silicon substrates with low resistivity.

One of the main achievements to produce SiOx /SiO2 multilayers structures with different silicon excess, was to control the variation of the power on the Silicon target and keeping constant the power on silicon oxide target.In this case, electroluminescent devices were developed with multilayers structures involving silicon nanocrystals, to reduce the energy barrier for conduction between the silicon oxide layers and silicon nanocrystals. According to our results, the threshold voltages to obtain a visible electroluminescence were reduced in comparison with previous reports. Therefore, it could be better to use a multilayer that uses SiOx / SiO2 mixture layers with high photoluminescence to reduce the energy barrier for conduction between nanocrystals and consequently lower voltages would be required for carriers’ injection.
EM-Contributed On Demand-55 Optimized Deposition Conditions of Silicon Rich Nitride Obtained by Lpcvd to Achieve Down-Conversion Effect as Uv Absorption Coating on Solar Cells
Francisco Uribe-González, Karim Monfil, Miguel Ángel Domínguez (Research Center of Semiconductor Devices, Meritorious University Autonomous of Puebla); Mario Moreno (National Institute of Astrophysics, Optics and Electronics); Ana Luz Muñoz (Electronics Faculty. Meritorious University Autonomous of Puebla); José Álvaro David Hernández, Alma Sinia Lizet Salazar (Research Center of Semiconductor Devices, Meritorious University Autonomous of Puebla)

The research trend on new materials and alternatives to improve energy generation devices, includes the synthesis and development of absorbent coatings. In particular, silicon solar cells can be optimized with coatings capable of capturing more or less energetic wavelengths than silicon can assimilate, this could be possible through silicon rich nitride (SRN). Silicon nitride has been used in many industry sectors as a protective coating, but the SRN also has convenient optical characteristics to achieve an improvement on efficiency of silicon solar cells keeping in mind the best cost-efficiency. This can be achieved by the down conversion effect. In this work, we propose an optimization on the deposition conditions of SRN films obtained by LPCVD to achieve the down-conversion effect. SRN films were obtained using NH3 mixed with SiH4 as precursor gases with a ratio of Ron between 4 and 80, the temperature was varied from 600°C to 720°C and thermal annealing was applied to some samples to compare with as-deposited films. Ellipsometry measurements showed that thickness and the refractive index can be well controlled by the gases ratio and deposition temperature. Fourier transform infrared (FTIR) spectra showed characteristic peaks of Si-N matrix and N-H vibration modes. The images of AFM showed that surface roughness morphology can be also affected by the deposition temperature. Energy dispersive spectroscopy measurements were obtained to estimate the SRN films composition, the results showed a silicon enrichment dependence on temperature. SRN films showed a clear photoluminescence (PL) at room temperature (RT), the main band was located between 380 to 650 nm. PL emission was related to donor acceptor decays between traps promoted by defects.

The optical, structural and morphological characteristics SRN films showed a clear dependence on the deposition time, the ratio of the precursor gases and the deposition temperature. Suitable refractive index, surface roughness and PL emission were obtained with a flow ratio of 4 and deposition temperature of 700 °C. These deposition conditions assure convenient optical characteristics of SRN films to achieve down conversion effect and they suppose a low influence on diffusion of PN junctions when SRN is used as UV absorption coating.

Keywords: Silicon rich nitride, LPCVD, Photoluminescence

Acknowledgment:Authors want to thank to CONACYT, CIDS-BUAP, VIEP-BUAP and INAOE to develop the present research.

Presenting author´s email: cross.ride1234@gmail.com

EM-Contributed On Demand-58 Interfaces between III-V Semiconductors and High-K Dielectrics: Opposite Requirements for MOSFET, Ferroelectrics, and Resistive Ram Applications
Austin Irish, Andrea Troian, Robin Atle, Anton Persson, Saketh Ram Mamidala, Karl-Magnus Persson, Giulio D'Acunto, Lars-Erik Wernersson, Mattias Borg, Rainer Timm (Lund University)

Novel computation challenges including neuromorphic networks, memory-centric computation, machine learning, or steep-slope transistors require to go beyond traditional MOSFET architecture and instead explore high-k thin films for ferroelectric or resistive random access memory (RRAM) technology. Typically, such ferroelectric or RRAM thin films are deposited on Si substrates, which still is the standard material within electronics industry. However, III-V semiconductors such as InAs or InGaAs offer a much higher charge carrier mobility and more flexibility for low-power applications. We are investigating HfO2 RRAM and Hf1-xZrxO2 (HZO) ferroelectric thin films on InAs and InGaAs substrates and gate-all-around nanowire structures, the latter for reaching ultimate electrostatic control. In order to obtain state-of-the-art device performance and outperform silicon technology, we need to thoroughly understand and optimize the materials properties of the III-V/high-k interface.

Previously, we performed various synchrotron X-ray photoemission (XPS) studies of InAs/HfO2 and InAs/Al2O3 interfaces of MOSFET devices, where we analyzed the role of different As sub-oxides and defect states, obtained As-oxide and In-oxide interface depth profiles, and also looked at interfacial thermal oxides. It turned out that best device performance was reached with as little interfacial oxide as possible. By implementing atomic layer deposition of HfO2 at an ambient-pressure XPS synchrotron beamline, we could even reach perfect self-cleaning and reveal new insights on the surface chemistry involved in the self-cleaning mechanism.

Here, we will present systematic XPS and X-ray absorption spectroscopy (XAS) results together with electrical device data of ferroelectric HZO and RRAM HfO2 films on InAs and InGaAs. In contrast to the MOSFET applications, we observe that a certain thickness and composition of interfacial oxide is required in order to obtain RRAM or ferroelectric functionality. In the case of RRAM nanowires, we find a critical dependence on the type of oxide deposition method and parameters, since these determine the amount of oxygen vacancies that influence the conductive filament formation. Furthermore, we obtain an inhomogeneous depth profile of the interfacial oxide. For ferroelectric HZO films with a thickness of down to 4 nm, oxygen vacancies and defects at the interfaces both to the top metal electrode and to the InAs substrate turn out to be critical for device performance and polarization endurance. A combined and interactive materials science and electrical device processing approach is needed to optimize these complex interface structures. View Supplemental Document (pdf)
EM-Contributed On Demand-61 Atomic Scale Defects Generated by High-Field Gate Stressing in Si/SiO2 Transistors
Stephen Moxim, Fedor Sharov (The Pennsylvania State University); David Hughart, Gaddi Haase (Sandia National Laboratories); Patrick Lenahan (The Pennsylvania State University)

An understanding of time-dependent dielectric breakdown (TDDB) in SiO2 gate dielectrics has long been of great interest. Several models have been proposed involving the generation of oxide traps which eventually form a percolative leakage path through the dielectric. However, little direct experimental evidence about the traps exists. Electrically detected magnetic resonance (EDMR), and near-zero-field magnetoresistance (NZFMR) can provide this atomic scale information in real devices. We present EDMR and NZFMR studies of defects generated during the high-field gate stressing of Si/SiO2 MOSFET arrays. Our studies substantially extend earlier resonance studies which were only able to identify one interface defect [1,2]. We subject our devices to gate stressing conditions and periodically interrupt the stress to measure interface recombination current, and spin-dependent interface recombination (SDR) current via EDMR and NZFMR. A nearly perfect correspondence between recombination current and SDR response is found at all stressing conditions. Interface state buildup occurs more rapidly early in the device lifetime, and more slowly as time goes on. By performing EDMR at multiple magnetic field orientations, we identify the stress-induced interface defects as Pb0 and Pb1 centers, dangling bond centers at the Si/SiO2 interface. In addition, we observe a weaker SDR response in lower temperature (200K) measurements due to traps created within the oxide, likely oxide silicon dangling bonds known as E’ centers. Of particular interest, we find that the NZFMR response exhibits subtle changes in lineshape after different durations of gate stress, which we attribute to changes in the population of hydrogen in the vicinity of the Si/SiO2 interface.

[1] Warren, W. L. and Lenahan, P. M. Appl. Phys. Lett. 49 19. (1986). 2887-2889.

[2] Stathis, J. H. and DiMaria, D. J. Appl. Phys. Lett. 61 24. (1992). 1296-1298.

Sandia National Laboratories is a multimission laboratory managed and operated by National Technology and Engineering Solutions of Sandia, LLC, a wholly owned subsidiary of Honeywell International Inc., for the U.S. Department of Energy’s National Nuclear Security Administration under contract DE-NA0003525.This paper describes objective technical results and analysis. Any subjective views or opinions that might be expressed in the paper do not necessarily represent the views of the U.S. Department of Energy or the United States Government.

View Supplemental Document (pdf)
EM-Contributed On Demand-64 Ultrafast Switching of FeRh Memristors
Nicholas A. Blumenschein, Gregory M. Stephen (Laboratory for Physical Sciences); Cory D. Cress, Samuel W. LaGasse (United States Naval Research Laboratory - Electronics Science and Technology Division); Aubrey T. Hanbicki (Laboratory for Physical Sciences); Steven P. Bennett (United States Naval Research Laboratory - Materials Science and Technology Division); Adam L. Friedman (Laboratory for Physical Sciences)

FeRh is widely studied because of its novel temperature-dependent antiferromagnetic (AFM) to ferromagnetic (FM) phase transition. This AFM-FM phase transition, which is accompanied by a significant change in resistivity, occurs at a critical temperature that can be fine-tuned over a wide range through substitutional doping, strain, and patterning.[1,2] Because the transition is temperature-dependent, the state can be manipulated via Joule heating. Recent reports show the AFM-FM transition occurs on a sub-picosecond timescale, a range appropriate for switching applications where operating speeds should be in excess of GHz frequencies. In this work we demonstrate ultrafast memristive switching of FeRh wires. The thermally-induced AFM-FM transition was evaluated using two-terminal devices consisting of an FeRh wire and metal contacts. By using FeRh wires of varying dimensions, we were able to identify geometrical dependencies and found the AFM-FM transition temperature scaled with both current density and wire length. Pulsed I-V measurements were used to investigate the dynamic Joule heating effects, including the device switching speed and resulting power switching losses accompanying the AFM-FM transition. The upper bound of our device switching speeds, measured to be near 300 nanoseconds, was limited by measurement equipment limitations, not the material system. The performance of this rudimentary device is comparable to other phase change memory technologies with more intricate device architectures. FeRh could be the basis for a very fast, phase-change approach to future computing.

References:
[1] S. P. Bennett, A. Herklotz, C. D. Cress, A. Ievlev, C. M. Rouleau, I. I. Mazin, V. Lauter, Mater. Res. Lett. 6, 106 (2018).
[2] C. D. Cress, D. Wickramaratne, M. R. Rosenberger, Z. Hennighausen, P. G. Callahan, S. W. LaGasse, N. Bernstein, O. M. van ’t Erve, B. T. Jonker, S. B. Qadri, J. C. Prestigiacomo, M. Currie, I. I. Mazin, S. P. Bennett, ACS Appl. Mater. Interfaces, 13, 836 (2021).
EM-Contributed On Demand-67 Innovative Approach and Study of Transparent Conducting Oxide as Channel Materials for the Fabrication of Thin Film Transistors
Kelsea Yarbrough, Sangram Pradhan, Messaoud Bahoura (Norfolk State University)

Photolithography has been the most widely used technique for semiconductor fabrication and large-scale mass production of silicon-based devices. By 2025, the photolithography equipment will have a market worth of $18 billion. Commercial, thin film transistors are developed using the process of photolithography. One technique we plan to introduce to fabrication TFT is 3D printing mask technique. 3D printed technology, commonly referred to as additive manufacturing, is a process used to reduce cost of various deposition techniques. 3D printed mask technology was used to deposit the active layer and the dielectric layer of our devices. The indium-free oxide-based channel material such as aluminum-doped zinc oxide (AZO) is the active material and can be fabricated on glass substrate or silicon for TFT application.High quality AZO thin films were grown using radio frequency (RF) sputtering technique and pulsed laser deposition on p-type silicon and glass for characterization purposes. The effect of Al content on zinc oxide crystal lattice were investigated by Atomic Force Microscopy (AFM), X-ray diffraction, Raman Spectroscopy, Ultra-violet visible spectroscopy, and Keithley 4200 Semiconductor Characterization System (SCS). AFM provided details information about the roughness, grain size, and surface morphology of thin films. FE-SEM measurement was performed to show cross sectional view of the fully developed thin film transistor. Raman Spectroscopy provided vibrational frequencies and a fingerprint of thin film used for the device fabrication. Finally electrical transistor characteristics were carried out using Keithley 4200 SCS provided including on/off ratio, mobility, and threshold voltage. The present work will provide valuable scientific input of AZO based active materials TFTs for the improvement of devices performance.

EM-Contributed On Demand-70 Epitaxial Growth of Donor and Acceptor Doped β-Ga2O3 by Magnetron Sputter Deposition
Adetayo Adedeji (Elizabeth City State University); Jacob Lawson, Amber Reed, Shanèe Pacley, Joseph Merrett (Air Force Research Lab)
High quality β-Ga2O3 films were deposited on various single crystal β-Ga2O3 substrates by magnetron sputtering. Doping of the epilayers was achieved by co-sputtering a pure Ga2O3 target and Si, Sn, or Fe Si targets. The substrate temperature was 570°C during a 2-hour deposition in Ar/O2 gas mixtures (5% O2 by flow rate). Substrate orientations used were (010) or (-201) and were either Sn-doped, unintentionally doped (Si doped in 1017 cm-3 range), or semi-insulating Fe-doped. Radio frequency power was applied to the Ga2O3 target while the dopant targets were sputtered with RF or DC power. A Si-doped sample was deposited using DC sputtering on a pure Si target. The sample thickness measured by ellipsometry was 187.2 nm. At the corners of 5 mm x 5 mm samples, Ti/Au contact metals were deposited by electron beam evaporation. The contacts were annealed in argon flow at 550°C. Room temperature Hall-Effect measurements indicated donor concentration of about 3 x 1019 cm-3. The average sheet resistance, resistivity, and carrier mobility are 652 Ω/sq, 1.23 x 10-4 Ω·m, and 19.1 cm2/V·s respectively. Additional samples were produced with either no dopant, Fe, Sn, or Si targets. Fe-doped films were found to be non-conducting. High resolution x-ray diffraction (XRD) 2θ-ω, 2θ and rocking curve measurements were performed to determine the film crystallinity. Differences in crystallinity were observed based on Ga2O3 film doping and substrate choice. A small secondary (-201) Ga2O3 diffraction peak on 2θ-ω XRD scan for the un-doped Ga2O3 on (-201) Sn:doped Ga2O3, combined with minimal diffraction peaks on the 2θ scan and a secondary peak on the rocking curve scan, suggest predominately epitaxial growth. The XRD analysis for the Sn:doped on Ga2O3 on the unintentionally-doped Ga2O3 substrate were inconclusive. Further analysis will be done to determine whether the film is amorphous or homoepitaxial. View Supplemental Document (pdf)
EM-Contributed On Demand-73 The Synthesis of NbSe2 by Molecular Beam Epitaxy for Thermomagnetic Energy Conversion
Peter Litwin, Sabbir Akhanda, Mona Zebarjadi, Stephen McDonnell (University of Virginia)

The synthesis of high-quality NbSe2 thin films is of significant interest for potential applications in thermomagnetic energy conversion. Molecular beam epitaxy (MBE) is a promising route towards this aim as it provides fine control over growth conditions. For thermomagnetic energy conversion, the metallic 2H phase of NbSe2 is desired. However, a number of competing phases are found to form during synthesis by MBE and likely include metastable phases, such as semiconducting 1T-NbSe2. It has been previously reported in literature that metastable 1T-NbSe2 forms at higher temperatures than the 2H phase. Conversely, higher growth temperatures are potentially beneficial for growing high-quality 2H-NbSe2 because of improved adatom mobility that results in better crystalline quality. We will report on an investigation of the competing effects of crystal quality versus mixed phase growth with a goal of optimizing growth conditions for thermomagnetic energy conversion. In-situ X-ray photoelectron spectroscopy (XPS) and reflection high energy electron diffraction (RHEED) will be performed to analyze the intrinsic chemical composition and growth mode of the synthesized material prior to atmospheric exposure. Ex-situ Raman spectroscopy will aid in phase identification. In order to obtain the Nernst coefficient, which quantifies the material’s ability to generate thermomagnetic power, ex-situ measurements will be carried out on NbSe2 films grown on insulating muscovite.

EM-Contributed On Demand-76 Scaling of Atomic Layer Deposited Dielectrics on UV-O3 Functionalized WSe2
Maria Gabriela Sales (University of Virginia); Sina Najmaei (Army Research Laboratory); Stephen McDonnell (University of Virginia)
WSe2 offers fundamentally unique charge carrier and transport properties compared to other transition metal dichalcogenides (TMDCs), such as its propensity for p-type doping and its enhanced spin-orbit coupling. These qualities make WSe2 a promising channel candidate for a multitude of different applications, including field effect transistors (FETs) and low power memory devices. For integration in an electronic device, TMDCs such as WSe2 are typically capped in the gate region with a high-quality dielectric layer, where ultrathin (sub-5 nm) dielectric thicknesses are desired in order to achieve sufficient gate to channel electrostatic coupling. Atomic layer deposition (ALD) is the conventionally used technique for dielectric deposition, but it has been previously shown in various reports that typical ALD on TMDCs results in clusters formed on the surface rather than a uniform film, primarily because of the lack of surface dangling bonds on TMDCs. Ultraviolet-ozone (UV-O3) functionalization of TMDCs has been found to be an effective route to producing more uniform ALD oxide films on TMDCs because it induces adsorbed oxygen on the surface (i.e., Se-Oads) which serve as reaction sites for the ALD precursors on the otherwise unreactive surface. This functionalization for ALD has been well-replicated for MoS2, but is less trivial for other TMDCs such as WSe2. The main goal of this current work is to benchmark UV-O3 functionalization of WSe2 as a promising route towards achieving directly integrated sub-5 nm gate dielectrics. Various UV-O3 exposure times will be tested on geological WSe2 crystals. XPS will be performed after each UV-O3 exposure to check for oxygen adsorption on the surface and/or oxidation of the WSe2 constituents. To investigate how effective the surface functionalization is for ALD, AFM will be performed post-ALD of HfO2 to study the morphology of the resultant layer. Using the best UV-O3 processing parameters, various thicknesses (between 2-10 nm) of HfO2 and Al2O3 will be deposited on functionalized WSe2 substrates. Subsequent AFM characterization will be performed to analyze the resulting film morphology and uniformity. The downscaling thickness limit will be determined as the thinnest pinhole-free film produced. XPS will be performed on the HfO2/WSe2 and Al2O3/WSe2 heterostructures to analyze their interface chemistry and band alignment.
Session Abstract Book
(429KB, Oct 26, 2021)
Time Period OnDemand Sessions | Topic EM Sessions | Time Periods | Topics | AVS 67 Schedule