AVS 66 Session AP+PS+TF-ThM: Thermal Atomic Layer Etching

Thursday, October 24, 2019 8:00 AM in Room B130

Thursday Morning

Session Abstract Book
(300KB, Apr 26, 2020)
Time Period ThM Sessions | Abstract Timeline | Topic AP Sessions | Time Periods | Topics | AVS 66 Schedule

Start Invited? Item
8:00 AM AP+PS+TF-ThM-1 A Challenge for Selective Atomic Layer Etching of Non-volatile Materials Using Organometalic Complex
Yoshihide Yamaguchi, Sumiko Fujisaki, Kazunori Shinoda (Hitachi, Japan); Hiroyuki Kobayashi, Kohei Kawamura, Masaru Izawa (Hitachi High Technologies, Japan)

Remarkable progress on atomic layer etching (ALE) for non-volatile materials has been made in recent years. The typical procedure for thermal ALE of non-volatile materials such as HfO2 is cyclic repetitions of formation and desorption of the organometallic complex at a constant temperature [1]. The most significant problem in thermal ALE is formation of a volatile organometallic complex layer on the surface. The organometallic complex layer prevents diffusion of etching species into the deep at the formation step and must be easily removed at the desorption step. The thermal ALE of La2O3, however, is difficult to apply because the organo-lanthanum complexes are easily decomposed by mild heating (< 200 deg. C) and fail to prevent the diffusion. To solve this thermal instability, the authors have applied a thermal cycle ALE [2,3], which is a combination of a formation of the organo-lanthanum complex at a low temperature and a desorption of the complex at a high temperature. In this paper, several results of our challenge for thermal ALE of non-volatile materials using a selective organo-metallization reaction on the surface will be discussed. Some guiding principles for the organo-metallization reaction will also be explained.

A La2O3 thin-film sputtering deposited on a SiO2/Si wafer was used as a sample. First, the La2O3 film was exposed to vapor mixture of a diketone and a stabilizer as the etchant gas at below 150 deg. C. Then the sample was annealed up to 250 deg. C. Temperature dependence in the procedure was also evaluated. After these consecutive processes, the sample was analyzed by scanning electron microscopy, X-ray photoelectron spectroscopy. Formation of the organo-lanthanum complex showed temperature dependent quasi-self-limiting characteristics. In the lower temperature range, the self-limiting characteristics enable precise control of the organo-lanthanum complex formation. In the higher temperature range, the continuous characteristics enable a higher etch amount per cycle with high selectivity. Several differences between chemistry with and without a stabilizer in the etching gas will be discussed. The high etching selectivity of La2O3 to HfO2 was also demonstrated. From these findings, we conclude that practical ALE of La2O3 has been successfully demonstrated.

[1] Y. Lee et al., Journal of Vacuum Science & Technology A 36, 061504 (2018).

[2] K. Shinoda et al., J. Phys. D: Appl. Phys. 50, 194001 (2017).

[3] Y. Yamaguchi et al., ALE workshop TuM4 (2018).

8:40 AM AP+PS+TF-ThM-3 Characterization of Isotropic Thermal ALE of Oxide Films and Nanometer-Size Structures
Andreas Fischer, Aaron Routzahn, Thorsten Lill (Lam Research Corporation)

In this work, we have characterized the reaction of aluminum oxide via the DMAC ligand exchange mechanism.

Fluorination studies of aluminum oxide were performed using NF3, CF4 or anhydrous HF, respectively. We also explored various methods of fluorination of the oxide surface such as thermal, in-situ or remote plasma, respectively, and found that a sufficient fluorine concentration could be obtained with either of the methods or reactants to enable atomic layer etching (ALE).

To understand reaction kinetics, we examined the interaction of aluminum fluoride (AlF3) films with DMAC. We found that AlF3 etched until it was completely consumed by DMAC. An analysis of its temperature-dependence allowed us to extract activation energies for the ligand exchange mechanism.

In a third part we demonstrated the utility of HF/DMAC reaction for isotropic ALE applied to nanometer-size metal oxide structures on wafers. Various metal oxides were etched and selectivities between oxides and potential mask materials were determined.
9:00 AM AP+PS+TF-ThM-4 Advanced Selective Chemical Dry Etch for Oxide and Si-based Material
Li-Hung Chen, Taiki Kato, Kenichi Nakahata, Koji Takeya (Tokyo Electron Technology Solutions Limited, Japan)

As device features continuously shrink with introducing complex structures and new materials in semiconductor manufacturing, extremely high selectivity for etch processes have become more and more important. High selective chemical dry etch is developed utilizing a separated damage-free chemical removal chamber and sublimation chamber. The required selectivity is realized by using various chemistries and quantum mechanics analysis.

Firstly, HF/NH3 chemistry is used for oxide etch with high selectivity to SiN, Si, metals and resist. On the other hand, HF mono chemistry can etch SiN with high selectivity to oxide and Si. Quantum mechanics analysis revealed that NH3 combined with HF enhances the SiO2 reaction because of its lower activation energy. However, HF mono chemistry enhances the SiN reaction because of its lower activation energy than the oxide reaction1). Secondly, Gas A chemistry is introduced for etching low quality oxide with selectivity >50 to both high quality oxide and SiN. The reaction rate barrier determined by quantum mechanics shows that etch reactivity with ALD-oxide is higher than with Th-SiO2 and SiN in Gas A etch process. Furthermore, Si and SiGe etch are evaluated with different Gas B/C ratio. Etch amount of Si is increased with increasing Gas C flow which can reduce activation energy from quantum mechanics simulation. Moreover, SiGe etching amount is decreased with increasing Gas C flow. This means that selectivity between Si and SiGe can be precisely controlled by Gas flow ratio.

Various applications can be realized by utilizing chemical dry etch with specific chemistries. For oxide etch processes such as fin recess, air gap, hard mask removal and surface clean, HF/NH3 chemistry can be used to meet critical criteria such as oxide selectivity to Si, SiN, resist and metal. CIP HW is developed to enhance throughput with excellent etch selectivity and uniformity. Additionally, HF mono-gas (or F-containing treatment) can dope Fluorine (F) into oxide film which is confirmed by depth profile analysis of secondary ion mass spectrometry (SIMS), and F implantation is known for dielectric breakdown life time improvement2). Also, Gas A can be utilized in Silica oxide removal process, which requires high selectivity between low quality and high quality oxide. Finally, Si mandrel removal and Si or SiGe nanowire fabrication is introduced by controlling gas flow ratio. Further discussion will be presented on AVS 66th.

Reference

[1] T. Kato, et al., AVS 65th Int. Symp. & Exhibit. (2018)

[2] Y. Mitani, et al., Proc. Of IEEE P93-98 (1999)

9:20 AM AP+PS+TF-ThM-5 Mechanisms of Thermal Atomic Layer Etching (ALE) of Metal by Deprotonation and Complex Formation of Hexafluoroacetylacetone (hfacH)
Abdulrahman Basher, Ikutaro Hamada (Osaka University, Japan); Marjan Krstic (Karlsruhe Institute of Technology (KIT), Germany); Michiro Isobe, Tomoko Ito (Osaka University, Japan); Karin Fink (Karlsruhe Institute of Technology (KIT), Germany); Kazuhiro Karahashi, Yoshitada Morikawa (Osaka University, Japan); Wolfgang Wenzel (Karlsruhe Institute of Technology (KIT), Germany); Satoshi Hamaguchi (Osaka University, Japan)

Thermal atomic layer etching (ALE) may be used for precise and damageless etching of difficult-to-etch materials such as Ni, Co, NiFe, MgO, and CoFeB, which can be used as materials for magnetic tunnel junction (MTJ) stacks of magnetic random access memory (MRAM) devices. The goal of this study is to understand the mechanisms of surface chemical reactions during thermal ALE of metal in general with oxidation and exposure to organic molecules. As a model case, we consider a two-step thermal ALE process of nickel (Ni) with an oxidation step and a gas exposure step at an elevated substrate temperature [1]. In the latter step, hexafluoroacetylacetone (hfacH) CF3C(OH)=CHC(O)CF3 is used as a reactive gas. In the oxidation step, a thin layer of NiO is formed on the Ni film surface and, in the gas exposure step, only (part of) this NiO layer is removed and thus self-limiting etching of Ni is achieved. Our main question is why NiO is etched but Ni is not etched by hfacH. This mechanism is studied with first-principle simulation of interaction of hfacH with Ni and NiO surfaces.

First, we examined interaction of hfacH with a metallic Ni surface, using a simulation code STATE [2,3], which is based on density functional theory (DFT) with pseudo-potentials and a plane wave basis set. Computationally, a metal surface is better represented by a plane wave basis set in general. It has been found in our simulation that, as an hfacH molecule approaches a metallic Ni surface with thermal velocity, it is more likely to be decompose and fragmented, rather than forming a hexafluoroacetylacetonate anion (hfac-) by deprotonation. This is consistent with earlier experimental observations [1,4]. The simulation clearly shows an energy threshold for deprotonation of hfacH with a metallic Ni surface.

Second, we examined interaction of enol hfacH with a NiO surface using a simulation code Turbomole [5], which is based on DFT but with Gaussian type orbitals. To better represent a NiO surface, we used the embedded cluster method (ECM) with Turbomole. It has been found that, as an hfacH molecule approaches a NiO surface, it is likely to deprotonate by transferring its hydrogen ion (H+) to an O atom of the NiO surface and the resulting hfac- tends to bond with a Ni atom of the surface because of the highly ionic nature of NiO, where Ni and O atoms are positively and negatively charged, respectively. In this way, volatile Ni(hfac)2 and H2O can be formed when hfacH molecules interact with a NiO surface. Reaction energies of such interactions have been evaluated from the simulations.

--------------------------

[1] T. Ito, et al., AVS 65th International Symposium & Exhibition (2018).

[2] Y. Morikawa, H. Ishii and K. Seki, Phys. Rev. B, 69, 041403 (2004).

[3] I. Hamada, Physical Rev. B 89, 121103 (2014).

[4] H. L. Nigg and R. I. Masel, J. Vac. Sci. Techol. A 17,3477 (1999).

[5] R. Ahlrichs, M. Bär, M. Häser, H. Horn, C. Kölmel, Chem. Phys. Lett. 162, 165 (1989).

9:40 AM AP+PS+TF-ThM-6 Thermal Atomic Layer Etching of Amorphous and Crystalline Al2O3 Films
Jessica A. Murdzek, Steven M. George (University of Colorado at Boulder)

Thermal atomic layer etching (ALE) can be achieved with sequential, self-limiting surface reactions. One mechanism for thermal ALE is based on fluorination and ligand-exchange reactions. For metal oxide ALE, fluorination converts the metal oxide to a metal fluoride. The ligand-exchange reaction then removes the metal fluoride by forming volatile products. Previous studies have demonstrated the thermal ALE of amorphous Al2O3 films. However, no previous investigations have explored the differences between the thermal ALE of amorphous and crystalline Al2O3 films.

This study explored the thermal ALE of amorphous and crystalline Al2O3 films. HF or XeF2 was used as the fluorination reactant. Dimethylaluminum chloride (DMAC) or trimethylaluminum (TMA) was employed as the metal precursor for ligand-exchange. The amorphous Al2O3 films had a much higher etch rate per cycle than the crystalline Al2O3 films. When using HF and TMA at 300 °C, the amorphous Al2O3 was removed at 0.78 Å/cycle, whereas the crystalline Al2O3 showed no significant thickness removal after 250 cycles (See Supplemental Figure 1). When using XeF2 and TMA at 300 °C, the etch rate was 0.66 Å/cycle for the amorphous Al2O3 film. In comparison, ALE only removed up to 10 Å of the crystalline Al2O3 film. XeF2 may be able to fluorinate the near surface region of the crystalline Al2O3 film easier than the crystalline bulk of the film.

The differences between amorphous and crystalline Al2O3 are sufficient to obtain selective thermal ALE of amorphous Al2O3 in the presence of crystalline Al2O3. The investigations also examined the effect of annealing temperature on the etch rate per cycle. Amorphous Al2O3 was etched at approximately the same etch rate until the crystallization of amorphous Al2O3 at >880 °C. The thermal ALE of crystalline films is important because amorphous films may not crystallize easily when they are too thin. Consequently, amorphous films may have to be grown thicker, crystallized, and then etched back to obtain the desired ultrathin crystalline film thickness. View Supplemental Document (pdf)
10:00 AM BREAK - Complimentary Coffee in Exhibit Hall
11:00 AM AP+PS+TF-ThM-10 Thermal Atomic Layer Etching (ALE) of Germanium-Rich SiGe Films
Aziz Abdulagatov, Steven M. George (University of Colorado at Boulder)

The thermal atomic layer etching (ALE) of germanium-rich SiGe was demonstrated using an oxidation and “conversion-etch” mechanism (See Supplemental Figure 1). In this process, the SiGe surface was oxidized to a SiGe oxide layer using O2. The SiGe oxide layer was then converted to an Al2O3 layer using trimethylaluminum (TMA). The Al2O3 layer was fluorinated by HF to an AlF3 layer prior to the removal of the AlF3 layer by ligand-exchange using TMA. The thermal ALE of SiGe films will be important for the fabrication of advanced MOSFET devices.

This study explored the thermal ALE of germanium-rich Si0.2Ge0.8 films. In situ spectroscopic ellipsometry was employed to monitor the thickness of both the Si0.2Ge0.8 and the surface oxide layer during ALE. These studies showed that the Si0.2Ge0.8 film thickness decreased linearly with number of reaction cycles while the surface oxide thickness remained constant. Using an O2-HF-TMA reaction sequence, the Si0.2Ge0.8 ALE etch rate was 0.57 Å/cycle at 290°C. This etch rate was obtained using optimal reactant pressures of 25, 0.2 and 0.4 Torr, and dose times of 1.5, 1 and 1 s, for O2, HF and TMA, respectively.

The Si0.2Ge0.8 ALE etch rate was lower at lower temperatures. Using an O2-HF-TMA reaction sequence, the Si0.2Ge0.8 etch rate was reduced from 0.57 Å/cycle at 290°C to 0.07 Å/cycle at 225°C. The order of the reactant sequence also affected the Si0.2Ge0.8 etch rate. Changing the reactant sequence from O2-HF-TMA to O2-TMA-HF reduced the Si0.2Ge0.8 etch rate from 0.57 to 0.45 Å/cycle at 290°C. Si0.2Ge0.8 could also be etched selectively in the presence of Si and Si3N4. The Si0.2Ge0.8 etch rate was >10 times faster than the etch rate for Si or Si3N4 at 290°C (See Supplemental Figure 2).

View Supplemental Document (pdf)
11:20 AM AP+PS+TF-ThM-11 Thermal Atomic Layer Etching of GaN and Ga2O3 Using Sequential Fluorination and Ligand-Exchange Reactions
Nicholas Johnson, Younghee Lee, Steven M. George (University of Colorado at Boulder)

Atomic layer etching (ALE) of GaN and Ga2O3 is important for the fabrication of power electronics devices. Thermal ALE of GaN and Ga2O3 was performed using sequential, self-limiting surface reactions. The thermal ALE was accomplished using fluorination and ligand-exchange reactions. XeF2 and HF were used as the fluorination reactants. BCl3 was the main metal precursor for ligand-exchange. Ga2O3 was also etched using Al(CH3)3, AlCl(CH3)2, TiCl4 or Ga(N(CH3)2)3 as the metal precursors for ligand-exchange.

Crystalline GaN samples prepared using MOCVD techniques at the US Naval Research Laboratory were etched with sequential XeF2 and BCl3 exposures. GaN etch rates varied from 0.18 to 0.72 Å/cycle at temperatures from 170 to 300°C, respectively (see Supplemental Figure 1). Because the GaN etch rates were self-limiting versus BCl3 exposure and BCl3 pressure, the GaN etching mechanism is believed to involve XeF2 fluorination of GaN to GaF3 and then ligand-exchange between BCl3 and GaF3 to yield volatile BClwFx and GaFyClz species. GaN fluorination using a NF3 plasma was also successful for etching crystalline GaN at 250°C.

Ga2O3 samples deposited using ALD techniques were etched with sequential HF and BCl3 exposures. Ga2O3 etch rates varied from 0.59 to 1.35 Å/cycle at temperatures from 150 to 200°C, respectively. The Ga2O3 etch rates were self-limiting versus HF and BCl3 exposure. Ga2O3 ALE was also performed using HF for fluorination and a variety of metal precursors for ligand-exchange. Ga2O3 etch rates at 250°C were 0.2, 0.8, 1.1 and 1.2 Å/cycle for Ga(N(CH3)2)3, TiCl4, Al(CH3)3 and AlCl(CH3)2 as the metal precursors, respectively (see Supplemental Figure 2). The wide range of metal precursors that can etch Ga2O3 argues that the ligand-exchange reaction with GaF3 is facile.

View Supplemental Document (pdf)
11:40 AM AP+PS+TF-ThM-12 Mechanistic Insights into Thermal Dry Atomic Layer Processing of Metals
Andrew Teplyakov (University of Delaware)
The mechanisms of thermally induced reactions of atomic layer deposition (ALD) and atomic layer etching (ALE) can be sometimes viewed as proceeding in opposite directions. However, for atomic layer processing of metals, that would mean that the best designed and most efficient reaction pathways leading to metal deposition would produce insurmountable energy barriers for a reverse process. If ligand detachment, exchange, and decomposition could be desirable for ALD, the etching of the same metals would require careful consideration of the etching mechanisms at the atomic and molecular level. Given that the mechanisms of ALE can be very complex, the key concepts and approaches will be described here for thermal dry etching processing, which would allow for eliminating the role of solvents and for distinguishing thermodynamic and kinetic regimes of etching. The mechanistic investigation of thermal dry etching of cobalt will be the primary target of this work. This process will be used to illustrate the limitations of the single-reagent etching by analyzing the reaction of 1,1,1,5,5,5-hexafluoro-2,4-pentanedione (hexafluoroacetylacetone, hfacH) or 2,4-pentanedione (acetylacetone, acacH)) with a clean cobalt surface. Then the effects of surface oxidation and chlorination will be explored as a means of kinetically controlled process. Finally, a number of potential effects of the mechanisms of dry etching on the morphology of the surfaces produced and, specifically, on the “smoothing” effect of dry etching will be discussed.
Session Abstract Book
(300KB, Apr 26, 2020)
Time Period ThM Sessions | Abstract Timeline | Topic AP Sessions | Time Periods | Topics | AVS 66 Schedule