AVS2016 Session PS+AS+SS-MoA: Plasma Surface Interactions

Monday, November 7, 2016 1:40 PM in Room 104D

Monday Afternoon

Time Period MoA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2016 Schedule

Start Invited? Item
1:40 PM PS+AS+SS-MoA-1 Atomic-scale Analyses of Plasma Etching for Unconventional Materials in Microelectronics
Satoshi Hamaguchi, Kazuhiro Karahashi (Osaka University, Japan)

As the sizes of semiconductor devices continue to diminish and are now approaching atomic scales, the downsizing of transistors following Moore’s law is bound to end in the near future. However, the continuing market demand for higher performance and lower energy consumption of large-scale integrated (LSI) circuits has driven invention of new device technologies such as three-dimensional (3D) device structures and devices based on non-silicon materials. Manufacturing of these non-conventional devices also poses new challenges for processing technologies. For example, magnetic materials used in magnetoresistive random-access memories (MRAMs) cannot be etched efficiently by the existing reactive ion etching (RIE) technologies, which has so far limited the level of integration of MRAM devices. The modern near-atomic-scale devices also require atomic level precision in their manufacturing processes, which has also driven new technologies such as atomic layer deposition (ALD) and atomic layer etching (ALE). In this study, we shall review our recent work on analyses of etching selectivity and surface chemical reactions for magnetic materials [1,2] metal oxides[3,4], Si-based materials [4] as well as damage formation mechanisms [6,7] due to ion bombardment during RIE processes. In our analyses, we use multi-beam injection experiments [8] and molecular dynamics (MD) simulations to emulate elementary processes of plasma-surface interactions that take place in RIE processes.

References

[1] M. Satake, M. Yamada, H. Li, K. Karahashi, and S. Hamaguchi, J. Vac. Sci. Tech. B 33 (2015) 051810.

[2] H. Li, Y. Muraki, K. Karahashi, and S. Hamaguchi, J. Vac. Sci. Tech. A 33 (2015) 040602.

[3] H. Li, K. Karahashi, M. Fukasawa, K. Nagahata, T. Tatsumi, and S. Hamaguchi, J. Vac. Sci. Tech. A 33 (2015) 060606.

[4] H. Li, K. Karahashi, M. Fukasawa, K. Nagahata, T. Tatsumi, and S. Hamaguchi, Jpn. J. Appl. Phys. 55 (2016) 021202.

[5] K. Miyake, T. Ito, M. Isobe, K. Karahashi, M. Fukasawa, K. Nagahata, T. Tatsumi, and S. Hamaguchi, Jpn. J. App. Phys. 53 (2014) 03DD02.

[6] K. Mizotani, M. Isobe, and S. Hamaguchi, J. Vac. Sci. Tech. A 33 (2015) 021313.

[7] K. Mizotani, M. Isobe, M. Fukasawa, K. Nagahata, T. Tatsumi and S. Hamaguchi, J. Phys. D: Appl. Phys. 48 (2015) 152002.

[8] K. Karahashi and S. Hamaguchi, J. Phys. D: Appl. Phys. 47 (2014) 224008.

2:20 PM PS+AS+SS-MoA-3 Plasma Wall Interactions: Y2O3 Wall Interaction in Cl2 Plasma Etching of Si and NF3 Plasma Cleaning
Tianyu Ma, Tyler List, Vincent Donnelly (University of Houston)

The walls of a plasma etching chamber play a critical role in causing variability of processing metrics such as rate, profile shape and selectivity. Small changes in the nature of the chamber wall surfaces can affect radicals sticking coefficients, recombination probabilities, and other heterogeneous reactions that will cause changes in the number densities of species in the plasma, which in turn affects the process. Therefore, a stable chamber wall material is essential for plasma processes, and in particular plasma etching. Compared to traditional alumina and silica wall material, Y2O3 has high chemical stability and extending lifetime, making it one of the preferred wall materials in etching systems. Consequently, studies were performed in a chamber with Y2O3-coated walls to determine time-dependent variations in the number densities of species in inductively coupled Cl2/Ar and NF3/Ar plasmas. Si was etched in Cl2 plasmas, after which, the wafer was removed and an NF3 plasma was used to remove etching products that deposited on the walls. This etch-clean procedure was repeated many times, simulating an integrated circuit manufacturing etch process. Optical emission spectroscopy (OES) and Langmuir probe analysis were performed to characterize plasma. Y2O3-coated coupon pieces exposed to the plasma were examined by X-ray photoelectron spectroscopy (XPS). Number densities of Cl2, Cl, O, and F were obtained with rare-gas actinometry during the entire etching and cleaning cycles. Emissions from Si, SiCl, SiCl2, SiCl3, SiF, and N2 were also recorded. After exposure to the NF3 plasma, Cl number densities are relatively low when no substrate bias is placed on the Si substrate. As soon as bias is initiated, Cl number density rises steeply at first and then slowly maximizes. This is attributed to then displacement of F on the walls with a SiClx containing layer. Apparently Cl on its own cannot remove F efficiently, but the reaction of Si-containing etching products produces SiF surface species that desorb and are observed as transient SiF emission in the first moments of etching. Cl recombination on this surface is much lower than on the fluorinated Y2O3 surface. Once prepared by etching Si with bias, the Cl number density remains high if bias is extinguished and etching nearly stops. The higher recombination coefficient on fluorinated surfaces is attributed to the longer residence time of physisorbed Cl, caused by the attraction to positively charged Y sites that are created when Y forms mainly ionic bonds with F.

2:40 PM PS+AS+SS-MoA-4 Novel atomic order CD Control Technology by Fusion of Quasi-ALE and ALD
Yoshihide Kihara, Toru Hisamatsu (Tokyo Electron Miyagi Limited, Japan); Tomoyuki Oishi, Shuhei Ogawa, Hikaru Watanabe (Tokyo Electron Miyagi Limited); Akihiro Tsuji, Masanobu Honda (Tokyo Electron Miyagi Limited, Japan)

In the recent years continuous scaling has required the use of multiple mask patterning technologies such as double and quadruple patterning, and increasingly thin EUV mask films are being planned to be used in the near future. In the patterning process, the fabrication of multilayer films requires the precision of atomic layer level accuracy (within nm level). Some critical challenges that patterning schemes face includes thinning of mask materials, reduction of ARDE related CD-loading, and reduction of LER and LWR. This requires the realization of highly selective etch processes that can address the challenges without trade-offs in other process specifications.

One method to increase the mask selectivity to enable mask thinning, which is one of the major patterning issues, Si-ARC is etched in a depositing condition which protects the resist mask surface, utilizing the material difference between the mask material and the antireflective layer (Si-ARC). However, to enhance selectivity, extra amount of the deposition can be generated. The amount of deposition flux fluctuates depending on the pattern density, leading to CD loading. In order to solve the tradeoff between selectivity and loading, we have proposed a Quasi- Atomic Layer Etching (Quasi-ALE) which is a modification of ALE to employ thin-film adsorption and activation by low ion energy [1]. In this paper, Quasi-ALE is applied to Si-ARC etch step to address three challenges; high selectivity, pattern-independent CD-loading, and vertical etch profiles.

We have also proposed the combination of ALD with etch as CD-loading-free CD control technique [2]. By combining ALD and Quasi-ALE, excellent CD controllability was achieved to address the entire patterning process issues without tradeoffs. In the presentation, various merits of the Fusion Process, which is a combination of Quasi-ALE and ALD, in patterning process, will be introduced. Fusion Process has a significant potential to solve critical challenges in the patterning process of N7, N5 and beyond.

Reference

[1] A.Tsuji et al., AVS 62nd Int. Symp. (2015)

[2] T.Hisamatsu et al., AVS 62nd Int. Symp. (2015)

3:00 PM PS+AS+SS-MoA-5 Development of a New Analysis Technique of Nanostructures Etched by Plasmas: Quasi In-Situ TEM EDX Characterization
Matthieu Serege (LTM, Univ. Grenoble Alpes, CEA-LETI); Gilles Cunge (LTM, Univ. Grenoble Alpes, CEA-LETI, France); Laurent Vallier, Eddy Latu-Romain (LTM, Univ. Grenoble Alpes, CEA-LETI); Olivier Joubert (LTM, Univ. Grenoble Alpes, CEA-LETI, France)

As the size of integrated circuit continues to shrink, plasma processes are more and more challenged and show limitations to etch nanometer size features in complex stacks of thin layers. The achievement of anisotropic etching relies on the formation of passivation layers on the sidewalls of the etched features which act like a protective film that prevents lateral etching by the plasma radicals. However, this layer also generate a slope in the etch profile and it’s difficult to control the layer thickness. Another thin layer called “reactive layer” is also formed at the bottom of the feature where the energetic ion impact mix the material to be etched with the plasma radicals. Etch products are formed allowing a high etch rate of the silicon substrate. It starts to be realized that controlling the thickness of this reactive layer is the key to achieve very high selective processes. Indeed, accurate etch stop on an ultra-thin layer is only possible if the thickness of this stop layer is higher than the thickness of the reactive layer otherwise damages are created underneath the stop layer.

A better understanding of these layers chemical nature, thickness and deposition mechanism is mandatory, but the main problem is that the layers to be analyzed are chemically highly reactive because they contain large concentrations of halogens and they get immediately modified (oxidized) when exposed to ambient atmosphere.

In this work we develop an original, simple and extremely powerful approach to observe passivation layers quasi in-situ (i.e. without air exposure): After plasma etching, the wafer is transported under vacuum inside an adapted suitcase to a deposition chamber where it is encapsulated by a metallic layer (magnetron sputtering PVD). Then, the encapsulated features can be observed ex situ without chemical / thickness modification using FIB-SEM (specimen preparation) coupled with a TEM-EDX analysis: HRTEM observation provides an extremely precise measurement of the passivation layer and encapsulation morphology. In parallel, STEM-EDX is used to map the main atomic element in our specimen, supplying qualitative information on the layer chemical composition. STEM-EDX is also used in profile mode to give us more accurate quantitative analysis. We are able to estimate the (relative) quantitative atomic concentration along a line scan profile on the feature sidewalls.

The measurements relatively fast, provide accurate analysis at the nanoscale, and are highly promising to better understand plasma etching processes. Therefore, this technique will be very helpful to develop innovative processes controlled at the nanometer range.

3:20 PM PS+AS+SS-MoA-6 Atomistic Simulations of He Plasma Modification of Si/SiN Thin-Films for Advanced Etch Processes
Vahagn Martirosyan (LTM, Univ. Grenoble Alpes, CEA-LETI, France); Emilie Despiau-Pujo (CNRS - LTM, France); Olivier Joubert (LTM, Univ. Grenoble Alpes, CEA-LETI, France)

Due to high ion bombardment energies and significant fragmentation rates, conventional continuous

wave (CW) plasma processes are not able to selectively etch ultra-thin films without damaging the

active layers of advanced nanoelectronic devices (e.g. FDSOIs, FinFETs). In particular, silicon nitride

or low-k spacers etching must be performed with nanoscale-precision without creating defects to the

underlayer substrate, to preserve device performances and be compatible with epitaxial steps. To

solve this problem, one possible alternative is to use a recently developed etch technology, which

consists of two steps [1]. In the first step, the material to be etched is exposed to a hydrogen (H 2 ) or

helium (He) ICP or CCP plasma; in the second step, the modified material is chemically etched by wet

cleaning or exposure to gaseous reactants only.

Due to the complexity of plasma-material interactions, the development of such a new etch approach

requires a more detailed understanding of the fundamental mechanisms involved in the process.

Therefore, we develop Molecular Dynamics (MD) simulations to study the Si-He and Si-N- He systems

and provide an overview of the reaction processes at the atomic scale. The objective is to understand

precisely the role of ion energy in the self-limited ion implantation, and to determine the relationship

between the flux/energy of plasma species (He + ) bombarding the surface and its structural/chemical

modifications.

In this work, we investigate the interaction between helium plasma species (He+ ions) and

silicon/silicon nitride via MD simulations, by studying the influence of ion energy (5-100eV) and ion

dose on the substrate modification. For He/Si interactions, simulations show an initial He implantation

followed by the formation of a stable modified layer at steady state, composed of two parts: a Si-He

mixed amorphous layer and a thin sublayer, which is crystalline but enriched in helium. According to

our results, the higher is the ion energy, the more rapid is the contamination and the thicker is the

amorphous layer. Few or no Si sputtering is observed for energies lower than 100eV, confirming that

He plasmas can modify/weaken the material on a precise depth without etching it. Amorphisation of

the material leads to the rupture of crystalline Si-Si bonds and to the creation of a less dense modified

layer, facilitating its subsequent removal by wet or dry etching. Mechanisms of helium

retention/desorption, as well as comparisons between He/Si and He/SiN interactions, will be

discussed during the presentation.

References

1. N. Posseme, O. Pollet, S. Barnola, Applied Physics Letters 105, 051605 (2014)

3:40 PM BREAK
4:20 PM PS+AS+SS-MoA-9 Patterned Chromium Hard Mask Etching in a Two Reactant Gas for Bit Patterned Media Template Fabrication
Daniel Staaks (Molecular Foundry, Lawrence Berkeley National Lab); XiaoMin Yang (Seagate Technology); Stefano Dallorto, Scott Dhuey, Simone Sassolini (Molecular Foundry, Lawrence Berkeley National Lab); Kim Lee (Seagate Technology); Ivo Rangelow (Ilmenau University of Technology, Germany); Deirdre Olynick (Molecular Foundry, Lawrence Berkeley National Lab)

Plasma-based dry etching is one of the most important nanofabrication methods for transferring full-wafer patterns. As feature sizes approach the single digit nanometer regime, there is an urgent need to develop a comprehensive and detailed understanding of the associated etching mechanisms. Additionally, challenges in obtaining high anisotropy, high selectivity, and robust critical dimension control must be addressed.

Highly selective chromium etching masks are an area of particular interest. Chromium has a widespread utility in not only manufacturing photolithography masks, but also in fabricating high-resolution nanoimprint templates. For example, we use it as a highly selective hard mask when etching SiO2 to achieve Bit Patterned Media templates towards sub-5nm features (7Tb/in²).

To date, there have been few investigations into patterned chromium films. The limited studies available involve micron-sized features and patterning by the erosion of polymer masks, which make extrapolation to the single-digit nano regime very difficult. In this work, we bridge the gap for nanoscale-patterned films. We etch a patterned 20nm layer of chromium in low pressure and low power Cl2/O2 plasmas. We investigate the profile evolution of features ranging from 15nm- to 200nm in pitch. Previous work in etching blanket chromium films revealed that chromium etch rate was influenced by substrate temperature and Cl2/O2 flow [1]. Here, we vary percent O2 flow (1%, 50%, 87%) and temperature (-50°C, +20°C) to explore the effects on lateral etching mechanisms, etch lag, and anisotropy. Using a highly selective HSQ mask for etching the chromium allows us to better determine the involved etching mechanisms. High-resolution micrographs of thin film cross-sections show significantly enhanced anisotropy at low temperatures.

Additionally, the unique etching chemistry of chromium must be considered when evaluating the material as a mask. Most materials form multiple volatile binary compounds during the etching process. Chromium, on the other hand, forms a single ternary compound: chromyl chloride. This enables us to study the effect of two-reactant gas chemistry on the etched feature profile. Results indicate that gas phase transport and surface mass transport of oxygen and chlorine are influential to profile shapes. Moreover, the effective local oxygen concentration inside the trench is important, and surface-dominated reactions highly affect the profile. Chlorine rich and chlorine poor chemistries promote very different surface reactions.

[1] D. Staaks, et al., Low temperature dry etching of chromium towards control at sub-5 nm dimensions, Nanotechnology 2016, submitted manuscript

4:40 PM PS+AS+SS-MoA-10 Alternative Solutions for Nanometric-Precision Etching: H2 Plasmas Modification of Si/ SiN Thin-Films
Emilie Despiau-Pujo, Vahagn Martirosyan, Olivier Joubert (LTM - CNRS/Univ Grenoble Alpes/CEA, France)
Consisting of several ultrathin layered materials, advanced transistors (FDSOI, FinFET) must be etched with a nanometric precision and nearly infinite selectivity to preserve the electronic properties of active layers (e.g. the silicon channel), a challenge which cannot be addressed by conventional CW plasma processes. To achieve uniform and damage-free etching of multi-layered transistors, an alternative etch approach has been recently proposed, consisting in two steps. In a first step, the film to be etched is modified in volume by exposition to a hydrogen or helium conventional CCP or ICP; in a second step, the modified layer is selectively removed by wet cleaning or exposure to gaseous reactants only. Such a two-steps process showed promising results for silicon nitride spacers etching [1]. To assist the development of this new technique, Molecular Dynamics (MD) simulations - coupled to experiments - are used to investigate the interactions between H2 plasmas and Si/SiN films. These atomic-scale simulations aim at better understanding the relationship between the flux/energy of plasma species (Hx+ ions, H radicals) bombarding the surface and its structural/chemical modifications.

Although one material of interest is silicon nitride, the study of Si-H systems constitutes a first step to understand the impact of ion energy (5-100 eV) and ion dose on the substrate modification and self-limited ion implantation. Simulations of cumulative Hx+ (x=1-3) ion bombardment show a rapid hydrogenation of Si followed by the formation of a stable modified layer at steady state. This modified layer is composed of a thick amorphous Si-H mixed layer and a thin sublayer, quasi-crystalline but enriched in hydrogen. As hydrogen is highly chemically reactive, ion implantation leads to the rupture of crystalline Si-Si bonds and to the creation of SiH, SiH2, SiH3 covalent bonds in the modified material. At the bottom of the modified layer, hydrogen tends to saturate the dangling bonds of the amorphous silicon and to create SiH3 bonds, thus fracturing the substrate into a modified hydrogenated layer weakly bound to the underlying crystalline material (Smartcut-like mechanism). The influence of ion dose, ion energy and ion type on the modified layer thickness (and thus on the subsequent etch precision) are discussed. Comparisons between pure ion implantation and exposition to various H2 plasma conditions (simulated by bombarding the Si/SiN substrates with both Hx+ ions and H radicals) are also presented. [1] N. Posseme, O. Pollet, S. Barnola, Applied Physics Letters 105, 051605 (2014)

5:00 PM PS+AS+SS-MoA-11 Plasma Dynamics at the Surface Interface in Low Pressure Capacitively and Inductively Coupled Plasmas
Martin Blake, Deborah O'Connell (University of York, UK); Andrew Gibson (LPP, CNRS, Ecole Polytechnique, Université Paris-Saclay, France); Timo Gans (University of York, UK)
The plasma-surface interface in low temperature, low pressure plasmas used for industrial

wafer processing is difficult to characterise. However, understanding the plasma dynamics

at this interface is key for further optimisation of industrial plasma processes. Of particular

relevance are the densities of reactive species, such as atomic oxygen, in this region. In this

work a methodology has been developed based on newly augmented fast optical

techniques which can probe reactive species densities in the wafer region without the need

for expensive laser equipment. This technique, known as energy resolved actinometry

(ERA)[1], utilises phase resolved optical emission spectroscopy (PROES) measurements of the

direct and dissociative electron-impact excitation dynamics of three distinct emission lines,

750.4 nm (argon, added in small concentrations as a tracer gas) and 777.4 nm, 844.6 nm

(atomic oxygen). Through the ratio of the excitation functions and their energy dependence

we determine both the atomic oxygen density and the mean electron energy above the

electrode surface.

In this work ERA has been applied to measure atomic oxygen densities and local mean

electron energies in a low pressure (1 – 100 Pa) oxygen plasma produced in a GEC reference

cell system [2], operated at 13.56 MHz in both capacitive and inductive modes at power

inputs ranging from 50 – 500 W. Additional characterisation of the plasma-surface interface

is carried out through the use of a retarding field energy analyser (RFEA) to measure the ion

energy distribution at the surface. The combination of both approaches allows for

information on the neutral and ion dynamics in the surface region, both of which are known

to be important for process outcomes.

A two-dimensional hybrid plasma simulation code is used to simulate the same conditions in

order to improve understanding of the experimental results.

Acknowledgements:

This work has been supported through the UK Engineering and Physical Sciences Research

Council (EPSRC) manufacturing grant EP/K018388/1 and the authors would also like to thank

Intel Ireland, Ltd. for financial support.

References:

[1] Greb, A., Niemi, K., O'Connell, D., Gans, T. 2014; Energy resolved actinometry for

simultaneous measurement of atomic oxygen densities and local mean electron energies in

radio-frequency driven plasmas, Appl. Phys. Lett. 105 234105

[2] P. J. Hargis Jr et al (1994); The Gaseous Electronics Conference radio‐frequency reference

cell: A defined parallel‐plate radio‐frequency system for experimental and theoretical

studies of plasma‐processing discharges, Rev. Sci. Instrum. 65, 140

Time Period MoA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2016 Schedule