AVS2009 Session PS1-MoM: Advanced Interconnect Etch

Monday, November 9, 2009 8:20 AM in Room A1

Monday Morning

Time Period MoM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2009 Schedule

Start Invited? Item
8:20 AM PS1-MoM-1 Modification Mechanisms of Porous Low-k SiOCH Film during Plasma Ashing Processes
Kazuaki Kurihara, Tsubasa Imamura, Katsumi Yamamoto, Hisataka Hayashi, Yasushi Nakasaki (Toshiba Corp., Japan)
Porous low-k SiOCH materials are being introduced in order to realize highly reliable interconnects for sub 32 nm node LSI. There are still several problems regarding its use. One of the problems is the damage to low-k materials during etching and ashing processes. During resist ashing process the loss of hydrophobic groups in the pore leads to the uptake of water into the film and results in an increase in k value. To overcome this issue, two approaches are considered. In one approach, the low-k material itself becomes resistant to the plasma processes. In the other, the low-damage plasma processes are developed. The collaboration of both approaches is probably necessary to achieve interconnection for future device generations. We studied the above two issues from the viewpoint of fundamental mechanisms. Concerning the former issue, we have examined the dependence of the plasma resistance of SiOCH films on the film structure, which contained the methylene-bridge (Si-CH2-Si) and/or the methyl groups. It was found that the decrease in the amount of carbon in the SiOCH film containing only methyl groups is larger than that in the film containing methylene bridges. Concerning the latter issue, we have investigated the mechanism of ashing process using CO2 plasma. It was found that a densified layer was formed at the outermost surface of the SiOCH film during ashing, and the layer plays an important role in the control of water absorption into the film. This ashing process resulted in lower damage to the SiOCH film compared with O2 or N2/H2 plasmas.
9:00 AM PS1-MoM-3 Oxygen Plasma and Radical Interactions with Ultralow-K Organosilicates; Fundamental Damage Mechanisms
Jeffry Kelber, Swayambhu Behera, Sudha Manandhar, Sneha Gaddam (University of North Texas)

Exposure of ultralow-k organosilicate (“SiCOH”) materials to oxygen plasma induces methyl group abstraction and other changes leading to significant increases in k value. We present ex situ FTIR and in situ XPS data for O2 plasma and thermal (Ekinetic < ~ 0.1 eV) electronic ground state atomic O (thermal O(3P)) interactions with SiCOH films. The data yield new insight concerning the fundamental mechanisms and kinetics of oxygen plasma-induced CH3 abstraction, and how these are affected by organosilicate pore structure. FTIR measurements indicate that methyl group abstraction kinetics in the presence of a direct O2 plasma are diffusion-dominated, and that this diffusion is directly related to SiCOH diffusivity (pore interconnectedness) rather than total pore volume. Pre-treatment of a high porosity/high diffusivity material with He plasma prior to O2 plasma exposure sharply limits CH3 abstraction in a manner similar to that exhibited by a vicinal high porosity/low diffusivity material—evidence that He pretreatment results in closure of pore channels. Exposure to O(3P) from a thermal source (1300 K) results in changes in FTIR spectra similar to exposure to O2 plasma, indicating that thermal O(3P) is a major reactant in the diffusion-dominated CH3 abstraction mechanism. In situ XPS analysis of thermal O(3P)/organosilicate interactions indicate that carbon loss and O/OH incorporation in the organosilicate surface region occur concurrently; i.e., Si-C bond scission and Si-O formation are related processes resulting from interaction with O(3P). This conclusion is supported by recent ab initio DFT-based molecular simulation (AIMDS) results recently reported by Jincheng Du and co-workers (Chaudhari, et al., submitted) that also indicate energy barriers to O/SiCOH interactions are extremely trajectory-sensitive, thus providing a rationale for the experimentally indicated O diffusion mechanism. The experimental and theoretical data also provide insight concerning O interactions with other Si/C based materials, such as SiC, and the implications of this work for processing of SiC will be discussed.

Acknowledgments: This work was supported by the Semiconductor Research Corporation under task ID 1862.001 and by the Robert Welch Foundation under grant B-1356. The authors thank Dr. G. A. Antonelli for providing samples and for useful discussions.

9:20 AM PS1-MoM-4 Synergistic Damage Effects of Vacuum Ultraviolet Photons and O2 in SiCOH Ultra-Low-k Dielectric Films
Joe Lee, David Graves (University of California-Berkeley)
Damage originating from plasma processing is a persistent problem with porous ultra-low-k dielectric films, such as SiCOH. Although most of the proposed mechanisms of plasma-induced damage focus on the role of ion bombardment and radical attack, vacuum ultraviolet (VUV) photons have been shown to play a role in bond modification of this material [1]. Using a vacuum beam apparatus with a calibrated VUV lamp, we show that 147 nm VUV photons cause bond scissioning in SiCOH, resulting in subsequent, post-exposure adsorption and reaction of water vapor from the atmosphere. Furthermore, the level of damage increases significantly under simultaneous exposure to VUV photons and O2. The role of photodissociation (and O radical formation) is shown to be negligible for the experimental conditions. The vacuum beam photon exposures are representative of typical plasma processes. Fourier-transform infrared (FTIR) spectroscopy implies that O2 itself reacts with photo-generated Si radical sites, replacing scissioned Si-C bonds with Si-O bonds (and ultimately SiOH groups) and making the surface more hydrophilic than with photon exposure alone. The present results demonstrate that VUV photo-generated surface reactions can be potent contributors to ultra-low k dielectric SiCOH film plasma-induced damage, and suggest that they could play analogous roles in many other plasma-surface interactions.
 
[1] Jinnai, B. et al., Damage Mechanism in low-dielectric (low-k) films during plasma processes. Journal of Vacuum Science & Technology B, 2008, 26(6): p. 1926.
9:40 AM PS1-MoM-5 Sidewall Modification of Porous SiOCH Ultra Low k Materials Induced by Reducing and Oxidizing Post Etching Plasma Treatments
Regis Bouyssou, Thierry Chevolleau (CNRS-LTM, France); Nicolas Posseme, Thibaut David, Christophe Licitra (CEA-LETI-MINATEC, France); Alain Ostrowsky, Christophe Verove (STMicroelectronics, France); Olivier Joubert (CNRS-LTM, France)

From 32 nm interconnect technology node and below, porous SiOCH (p-SiOCH) materials presenting a porosity higher than 25% and a dielectric constant lower than to 2.5 are introduced. However the porosity introduction leads to complex integration issues. One of them is the high sensitivity of porous materials to radical species generated by the plasma during etching and ashing processes. An other serious issue brought by the porosity is the possibility of metal diffusion into the dielectric during conformal metallic barrier deposition. In order to surmount those issues, the optimization of post etching plasma treatments (PET) using reducing and oxidizing chemistries present some interest since such treatments can be efficient not only as post-etching cleaning processes of the sidewall patterns and reactor walls but also potentially as “pore sealing-like” processes to prevent metal barrier diffusion.

In this work, plasma induced modifications of p-SiOCH sidewalls have been investigated using volume and surface analyses techniques such as ellipsometric porosimetry (EP), infrared spectroscopy (FTIR), X-ray photoelectron spectroscopy (XPS) and HF decoration techniques (resin encapsulation followed by a HF dip). After p-SiOCH patterning (porosity of 27% and k=2.35) using a TiN hard mask, p-SiOCH structures are exposed to in situ PET using different chemistries (NH3, H2, CH4 or O2) in a dual frequency capacitive reactor. The NH3, H2, CH4 or O2 treatments are first optimized on blanket wafers with the objective to minimize p-SiOCH modifications, i.e mainly methyl depletion and moisture uptake.

After etching in CF4 / C4F8 / N2 / Ar plasma, chemical topography analyses by XPS show the presence of a fluorocarbon (FC) layer on the p-SiOCH sidewalls. The FC layer is always removed whatever the post-etching plasma treatments and the surface of p-SiOCH sidewalls trenches is carbon and nitrogen rich after the CH4 based PET and SiOF like after the NH3, H2 and O2 PETs. The HF decoration technique and EP (with water used as solvent) show that the modified surface of the sidewalls is hydrophilic (thickness of the modified sidewall layer is between 15 and 20 nm) after the NH3, H2 and O2 PETs while only a slight modification of the pattern sidewalls is detected after CH4 based PET (less than few nanometers). The mechanisms leading to the p-SiOCH sidewall modification (pore sealing, methyl depletion...) induced by the plasma will be analyzed through the EP and FTIR analyses . The capabilities of such post-etching plasma treatments to prevent the barrier diffusion into p-SiOCH will be also discussed.

10:00 AM PS1-MoM-6 Reaction Mechanism and Profile Evolution for Porous Low-k Dielectric Sealing by Combined He and NH3 Plasma Treatment
Juline Shoeb (Iowa State University); Mark Kushner (University of Michigan)

Porous dielectric materials offer lower capacitance that reduces RC time delay in integrated circuits. While porosity of the dielectric can be as high as 0.5, the pores open to the surface which are internally connected can offer pathways for reactive species to enter into the porous network resulting in a degraded dielectric constant. The porous low-k materials are typically SiOCH – silicon dioxide with carbon groups, principally CH3, lining the pores. Reactions with the CHx groups can increase the k value of the material. To maintain the low-k value of porous dielectrics, sealing of the surface pores is desirable. Treatment of the porous material with successive He and NH3 plasmas has been successful in sealing the pores1. The He plasma can break Si-O bonds creating dangling bonds on the SiO2 surface while knocking off H atoms from CH3 group which is connected to Si of SiO2 by a Si-C bond. This creates more reactive CHx (x = 1,2) species without significant damage to the substrate. Successive NH3 plasma treatment seals the pore as NHx (x=0,1,2) species passivate previously produced Si forming Si-N bonds and reactive CHx groups adsorbing NHx species to form C-N bonds. A reaction mechanism has been developed for the sealing of a porous carbon doped silica films (SiOCH) in sequentially applied He and NH3/Ar plasmas. The HPEM (Hybrid Plasma Equipment Module) was employed to obtain the ion energy and angle distributions of reactive fluxes from inductively coupled plasmas. These are used as input to the MCFPM (Monte Carlo Feature Profile Module) with which profiles of the low-k materials after the plasma exposures are predicted. Results will be discussed, including validation with data from the literature, for the densification and sealing of pores as a function of pore radius, porosity, interconnectivity, bias voltage and plasma power.

1. A. M. Urbanowicz, et al., Electrochem. Solid-State Lett. 10, G76 (2007).

* Work supported by Semiconductor Research Corp

10:20 AM BREAK
10:40 AM PS1-MoM-8 Materials Interactions as a Challenge for BEOL RIE at 22nm Node and Beyond
Yunpeng Yin, John Arnold (IBM); Kelvin Zin, Charlie Chu, Yannick Feurprier (Tokyo Electron Limited, Japan); Yann Mignot (STMicroelectrics); Muthumanickam Sankarapandian, James Chen, XiaoHu Liu (IBM)

As feature critical dimension (CD) shrinks toward the 22nm node and beyond, many problems become serious challenges for BEOL plasma patterning. Dimensionally, as pitch size reaches 100nm or below, pattern breakdowns due to resist delamination, mask wiggling and dielectric flopover have been observed. One of the root causes is the high Aspect Ratio (AR) of mask/dielectric lines. Two of the most significant mechanisms, mask flopover and dielectric flopover, occur during the plasma etching process. In the event of former, the soft organic materials in the litho stacks can bend over and lead to mask flopover when the AR is high. This flopover partially shadows the trench and forms distorted dielectric lines. In the later case, the dielectric lines themselves can also flop over at high aspect ratios, which is most likely due to a combination of high AR and low material strength. In addition, wet treatment post plasma patterning can cause significant flopover due to capillary forces. Pattern profile control during BEOL RIE is another major concern and needs to be addressed in order to improve product yield and reliability. In particular, low-k material sidewall damage and trench bottom roughening during RIE need to be improved for better profile control. Moreover, characterization of feature profiles at 22nm node and beyond is a significant challenge. In this paper, the RIE efforts on pattern wiggling/flopover, pattern profile control and profile characterization will be addressed.

This work was performed by the Research Alliance Teams at various IBM Research and Development facilities.

11:00 AM PS1-MoM-9 Challenges in Porous Ultra Low-k for 22nm Dual Damascene Trench Etch
Qingjun Zhou, Ryan Patz, Andrew Darlak, Jeremiah Pender, Michael Armacost (Applied Materials, Inc.); Cathy Labelle (GLOBALFOUNDRIES); Dave Horak (IBM Research)

The development of 32nm technology processes highlighted many issues associated with Ultra Low-K (ULK) material. The softness and porosity of ULK caused many challenges, such as etch front roughness and strip damage, requiring modifications to the etch chemistry, pressure regime and plasma density. This learning has been applied to the 22nm node but new issues have developed. As we go to sub-100nm pitch features, there appears to be a critical dimension where the microloading increases dramatically. Traditional methods of correcting this response, such as pressure, bias power and degree of polymerization modifications, are not as effective for these small feature sizes. Adding to the difficulty of solving this issue is the restriction put on the available process regime by other ULK concerns, e.g. etch front roughness, faceting, film damage, etc.. Microloading trends, and strategies for improving it, have been identified and will be presented. A second issue encountered at sub-100nm pitch involves multi-layer photoresist patterning. As feature size shrinks the aspect ratio of the masking material increases. If the aspect ratio is high enough, and the process conditions are not managed correctly, pattern flop-over has been observed. Proper management of the etch steps can help mitigate flop-over, but there is a limited process window. Some of the issues surrounding this phenomenon will be discussed.

This work was performed by the Research Alliance Teams at various IBM Research and Development Facilities.

11:20 AM PS1-MoM-10 Sidewall Roughness Transfer during Advanced Interconnect Patterning: Impact of Masking Strategies and Plasma Etching Processes
Julien Ducote (STMicroelectronics, France); Thibaut David, Nicolas Posseme (CEA-LETI-Minatec, France); Thierry Chevolleau (LTM-CNRS, France); Alain Ostrovsky (STMicroelectronics, France); Marc Guillermet (CEA-LETI-Minatec, France); Fanny Bailly (STMicroelectronics, France); Erwine Pargon, René-Louis Inglebert (LTM-CNRS, France); Christophe Verove (STMicroelectronics, France); Olivier Joubert (LTM-CNRS, France)

As copper lines are continuously scaling down, the biggest issue we are facing today is the copper resistivity increase due to the scattering effect at the grain boundaries and surface. Recent study has proved that this behavior is potentially associated with the sidewalls roughness of the lines also leading to an increase of the time dependent dielectric breakdown [1].

Starting from an initial line width roughness (LWR) printed in the photoresist after the lithography step, we have investigated the impact of the etching chemistries on the LWR transfer in a damascene structure as a function of the hard mask strategy (metallic or organic).

To monitor the LWR variation, a three-dimensional critical dimensions-atomic force microscope (CD-AFM) from Veeco has been used. This technique allows reconstruction of the trench profiles of the patterns and measurement of the LWR along the trenches. However such analyses require the development of a specific protocol to determine the LWR after porous SiOCH integration in a dual damascene architecture.

In order to avoid any consumption or sticking of the AFM tip, it is mandatory i) to use a tip with a high stiffness and ii) to measure the LWR of the damascene structures after the etching and wet cleaning. The line width roughness measurements are performed on 100 scan lines over a scan length of 2 µm with a tip diameter of 100 nm and tip edge of 20nm, allowing a measurement accuracy of less than 1 nm.

We have investigated the impact of the two masking strategies investigated (titanium nitride (TiN) versus organic) and different etching chemistries (used for BARC open, TiN open and dielectric etching) on the LWR transfer by monitoring the LWR variation between LWR on photoresist trenches and LWR on p-SiOCH trenches.

No impact of the different chemistries investigated has been observed with a TiN hard mask. The initial LWR on the photoresist patterns (~6nm) remains almost constant after porous SiOCH lines etching. The comparison of the transfer of sidewalls roughness between a TiN and an organic mask will be also presented.

[1] E. Soda et al., JVSTB, 27(2), 2009, pp649

11:40 AM PS1-MoM-11 Post Etch Treatments as Solution to Limit or Prevent Residue Growth on Metallic Hard Mask after Porous SiOCH Etching in Fluorocarbon Based Plasma
Nicolas Posseme (CEA-LETI-MINATEC, France); Regis Bouyssou, Thierry Chevolleau (LTM-CNRS, France); Thibaut David (CEA-LETI-MINATEC, France); Vincent Arnal, Christophe Verove (STMicroelectronics, France); Olivier Joubert (LTM-CNRS, France)

For 45 nm interconnect technology node, porous SiOCH (p-SiOCH) materials are being introduced, leading to complex integration issues due to their high sensitivity upon etching and ashing plasmas exposure . Metallic hard mask (MHM) integration avoids exposure of the porous film to plasma stripping processes but generate its own issues such as metal contamination on patterned structures ( leading to line and via opens, strongly impacting the yield performance).

In this work, we have investigated the efficiency of in situ post-etch plasma treatments (PET) such as NH3, CH4, O2 and H2 to limit or prevent residues formation.

First, the experiments have been performed on TiN blanket wafers deposited on 200 nm thick SiO2 layers. The TiN layer has been exposed to conventional fluorocarbon (FC) based chemistry and PET in an industrial dual frequency capacitively coupled plasma etcher. Different analyses techniques such as scanning electron microscopy (SEM) and ex-situ x-ray photoelectron spectroscopy (XPS) have been used in order to analyze the presence of metal residues and have a better understanding of the residue formation mechanism .

After FC etching and atmosphere exposure, a huge density of residues is observed, correlated with the presence of significant fluorine concentration (33%) on the TiN surface. The mechanism of metallic residues formation on the metallic hard mask has been clearly identify as a reaction between fluorine and air moisture (forming HF acid) and the oxidized metal to form a metallic salt.

H2, O2, and NH3 PET strongly reduce the density of residues by partially removing fluorine on the TiN surface (8-13%). With the CH4 PET, no more residues are observed despite an important fluorine concentration (28%) remaining on the surface. The residue removal is explained by the formation of a thin carbon passivation layer on top of the TiN surface preventing reactions between fluorine and air moisture.

Furthermore, a complementary study has been performed on patterned wafers using trench first MHM integration with a PECVD p-SiOCH dielectric (porosity of 20%, k=2.5). The implementation of the post-etch plasma treatment show that the residues density on MHM strongly depends on the etching chemistry with H2, O2, NH3 while with CH4, the efficiency in preventing residues formation is not chemistry dependent. The implementation of such PETs using a MHM and a porous SiOCH has been successfully integrated with an improvement of the electrical performances.

Time Period MoM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2009 Schedule