AVS2007 Session PS-FrM: Plasma-Surface Interactions III

Friday, October 19, 2007 8:20 AM in Room 606

Friday Morning

Time Period FrM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2007 Schedule

Start Invited? Item
8:20 AM PS-FrM-2 Role of UV/VUV Radiation and Ion Bombardment in the Degradation and Roughening of Photoresist Polymers
D.G. Nest, D.B. Graves (University of California, Berkeley); S. Engelmann, R.L. Bruce, T. Kwon, R. Phaneuf, G.S. Oehrlein (University of Maryland, College Park); C. Andes (Rohm and Haas Electronic Materials); E.A. Hudson (Lam Research Corp.)
This study focuses on the relative roles of rare gas ion bombardment and UV/VUV radiation in photoresist (PR) polymer degradation during plasma etch and pattern transfer. We present results of a collaborative study of PR etching and roughening of current generation methacrylate-based 193 nm PR polymers and 248 nm PR. Independently controlled ion and UV sources in a vacuum beam system are used to simulate plasma-photoresist interactions, and the relative importance of UV/VUV radiation and ion bombardment during plasma etch are elucidated. The effects of ion bombardment tend to be concentrated in the near-surface layer. All PR polymers under ion bombardment appear to form a highly cross-linked near-surface layer. By contrast, the effects of UV/VUV radiation, as characterized by transmission Fourier Transform Infrared (FTIR) Spectroscopy, penetrate deeper into the bulk polymer and are more sensitive to the chemical composition of the PR polymer. Surface roughness and morphology depend on the composition of the PR as well as the ion bombardment and UV/VUV exposure protocol. Differences in polymer chemistry are correlated to surface morphological changes. Results from the vacuum beam experiments are compared to measurements made on PR exposed in plasma reactor experiments.
8:40 AM PS-FrM-3 About the Surface Roughness Generated by Plasma Etching Processes
M. Martin, G. Cunge (CNRS/LTM, France)
As the critical dimension of the features decrease for each new generation of CMOS transistors, the thickness of the layers being etched also decreases. When the thickness of the layer approaches 10 nm, a new problematic is emerging: the roughness generated by the plasma etching process could become comparable to the thickness of the layer being etched potentially causing selectivity issues. In this work, we have systematically analyzed the roughness generated in c-Si (100) and in p-Silicon when etched in high-density plasmas over a wide range of conditions (pressure, rf power) using SF6, CF4, Cl2 and HBr chemistries. The roughness is characterized by AFM. In this work, we demonstrate unambiguously that high-density HBr and Cl2 plasmas DO NOT generate roughness during etching but on the contrary tend to smooth the existing surface roughness if already present. By analyzing the time evolution of the shape of self organised silicon nanopilar (patterned using diblock-copolymers), we show that the smoothening properties of etching plasmas is due to shadowing effects : the "hills" receive a high radical flux than the "valley" and are thus etched faster. In contrast, F-based plasma generates a significant surface roughness whose amplitude increases with the etching time. However, we show that the roughness formed in these conditions is generated by micromasking of silicon by AlFx particles generated by the sputtering of the (Al2O3) reactor walls. A high percentage of Al is indeed detected on the surface after etching in F-based plasmas. As a matter of fact when the chamber walls are intentionally coated by a carbon layer prior to the silicon etching process (thus preventing Al sputtering), the F-based plasmas behave like the other etching chemistries investigated: they rapidly smooth any existing roughness.
9:00 AM PS-FrM-4 Influence of Plasma Etch Processing Parameters on Morphological and Topographic Transformations of Advanced Photoresist Materials
S. Engelmann, R.L. Bruce, T. Kwon, R. Phaneuf (University of Maryland, College Park); C. Andes (Rohm and Haas Electronic Materials); D.G. Nest, D.B. Graves (University of California, Berkeley); E.A. Hudson (Lam Research Corp.); G.S. Oehrlein (University of Maryland, College Park)
Plasma based transfer of photoresist (PR) patterns into underlying substrates is basic to micro- and nano-fabrication, but suffers from problems like introduction of surface and line edge roughness in the PR/underlying features as a result of plasma processing. In this collaboration, we seek to develop a deeper understanding of the influence of both PR materials and plasma parameters in introducing undesirable changes in PR blanket films and nanostructures. Etch rates, chemical and morphological evolution of fully formulated PR systems as well as carefully selected model polymers have been studied along with a set of sub-micron sized patterned structures using ellipsometry, atomic force microscopy, x-ray photoelectron spectroscopy, and secondary electron microscopy. The current work is designed to complement prior studies on the temporal evolution of plasma-induced PR modifications for a set of different materials processed over a limited plasma parameter range. Using an Inductively Coupled Plasma (ICP) source, a survey of the effects of bias power and voltage, source power, pressure, and feed gas composition (C4F8/% Ar) on 193 nm PR etching behavior and surface modifications has been undertaken. For comparison, PR modifications using discharges based on CF4/H2 mixtures were studied as well. The pure CF4 discharge resulted in a relatively smooth top surface due to high removal rates. But excessive lateral etching caused tapered feature profiles. On the other hand, CF4 discharges admixed with H2 to improve the profile resulted in smooth top surfaces combined with low removal rates similar to C4F8/90% Ar. We also will present results of changes in line edge and width roughness for actual PR nanostructures, and compare these with data obtained using blanket PR films exposed using the same plasma operating conditions.
9:20 AM PS-FrM-5 Effect of Charging on Twisting of Extremely High Aspect Ratio Features in Plasma Etching*
A. Agarwal (University of Illinois at Urbana-Champaign); M.J. Kushner (Iowa State University)
The plasma etching of extremely high aspect ratio features (eHAR) provides challenges to maintain critical dimensions. As aspect ratios approach and exceed 100, undesirable behaviors have been observed, such as extreme tapering and twisting of features. Twisting is the sometimes sudden turning of a via or trench from the vertical to a side angle. These behaviors often occur randomly. For example, of three adjacent features, only one may display the behavior. The behaviors are also sometimes associated with location on the die, such as near an open area, or location on the wafer, being more likely near the edge of the wafer. Current theories on the source of twisting and errant behavior focus on charging effects, anisotropic ion energy distributions and the randomness of the composition of the ion and radical fluxes as the opening of the feature approaches only a few tens of nm. In this talk, processes leading to twisting of eHAR features in polymerizing (etching of SiO2 in fluorocarbon plasmas) and in non-polymerizing (etching of Si in chlorine plasmas) chemistries will be discussed using results from a computational investigation. The Monte Carlo Feature Profile Model (MCFPM) was modified to include the effects of charging by electrons and ions, including solution of Poisson's equation and conduction current through solid materials. We found that twisting largely results from a confluence of factors, including trapping of charge in polymer, randomness in the neutralization of charge due to the stochastic-like current that enters small features and location of the feature on the die. For example, trenches adjacent to open areas are influenced by charging in the open field, an effect that diminishes with distance from the open field. The twisting effects are generally diminished but not eliminated with increasing voltage.

*Work supported Micron Technology Inc., Semiconductor Research Corporation and the National Science Foundation.

9:40 AM PS-FrM-6 Role of Additives (O2, CO and CO2) in NF3 Remote Plasma Etching of Si3N4
J.J. An, H.H. Sawin (Massachusetts Institute of Technology)
In the optimization of PECVD chamber cleaning, it is important to maximize the cleaning rate and minimize the use of gases that are costly and must be treated. It is found that less than 5% of additives such as O2, CO and CO2 in NF3 plasma enhance silicon nitride etching rates particularly at lower temperatures. Using line-of-sight mass spectrometry, we have measured the atomic fluorine density and shown than it is not significantly altered by the additives. While nitric oxide (NO) generated in plasma is considered to be the main contributor of the enhancement of etching rate, its measured levels are small. Besides NO, the role of carbon containing gas products is also taken into account. While the kinetics of this effect are not yet well understood, we will present mass spectrometer results sample by line-of-sight from the plasma source, FTIR measurements of the pump exhaust, as well as etching rate variation with flow rates, pressure and sample temperature.
10:00 AM PS-FrM-7 Measurement of Modified Layer Formation of 193 nm Photoresist during Short Time Plasma Exposure
M. Sumiya (Hitachi High-Technologies Corp., Japan and University of Maryland); R.L. Bruce, S. Engelmann, F. Weilnboeck, G.S. Oehrlein (University of Maryland)
The degradation of photoresists (PR) during plasma etching is one of the issues for nanoscale-fabrication. Although it has been already reported that surface roughness develops within a few seconds plasma exposure and leads to striations,1 the mechanism of surface roughness formation during the initial stages of plasma exposure is not well understood. In this study we employed a shutter approach2 to achieve rapidly steady-state plasma condition for processing PR surface. The time-evolutions of optical constants and thickness of the modified layer on the PR surface were obtained using 2 layer optical modeling of the ellipsometric data. The change of surface composition was observed by X-ray photoelectron spectroscopy (XPS). Remarkable differences of the etch behavior during the early etch period for different discharge conditions, i.e., ion energy, pressure and stage temperature, were observed. We found that the modified layer is formed within a few seconds and a steady-state modified layer is subsequently seen. It was revealed that these differences of etch behavior for different etch condition at the earlier etch period are due to difference of fluorination degree of the PR surface and having higher etch rate under low fluorination leads to rough surface. We also compared the etch behaviors of 193 nm PR and 248 nm PR materials. Whereas for 193 nm PR etching was observed immediately, for 248 nm PR, fluorocarbon film deposition took place at first until a fluorinated surface developed. The reason for different etch behavior of both PRs is the high oxygen content of 193 nm PR relative to that of 248 nm PR. Oxygen enhanced the etching rate of 193 nm PR during early plasma exposure when the surface roughness is introduced. Additional details on the relationship of the initial surface condition and formation of rough surface for PR materials will be discussed.


1X. Hua et al., J. Vac. Sci. Technol. B24(4)(2006)1850.
2G. S. Oehrlein, et al., J. Vac. Sci. Technol. B24(1)(2006)279.

10:20 AM PS-FrM-8 A Study on the Oxidation Properties of W Surface by O2 Plasma and Reduction of WOx Layer by H2 Plasma in Sub 50nm Patterning Process
J.K. Kim, B.S. Kim, S.S. Jeong, T.H. Ahn (Samsung Electronics, South Korea)
As the feature size gradually shrinks down to nano scale of sub 50nm, various metals like as W, Ti, and Co, have been introduced as a low resistance material for word line. Recently, W has become to the most powerful candidate and widely used for several applications. For the formation of W pattern, the most challenging problem is the oxidation of W surface. Generally, we treat wafer with O2 plasma to remove the organic mask layer and polymeric residues after W etching process. W surface is oxidized to WOx layer by reactive oxygen radicals during plasma ashing. The oxidation of W surface brings increase of pattern resistance and variation of critical dimension. In this study, we controlled the reactivity between W surface and oxygen radical varying process parameters such as radical flux, RF power and especially electrode temperature. Also, we characterized the W surface using XRR, SFX and checked the change of line resistance to compare the oxidation amount. Oxygen radicals activated moderately, could not react with W surface, while organic polymer could be sufficiently removed. Using properly activated oxygen radical, we can find the reasonable process window which is nearly free from surface oxidation and polymeric residues. Even though we find the optimum process condition, there are still thin WOx layer after ashing. In order to remove this layer, we also investigated post treatment process using H2 containing plasma. We found that hydrogen radical allowed a quick recovery of WOx layer to their initial state of W. Finally, we proposed a multi-step plasma treatment process to keep W surface from oxidation during plasma ashing.
10:40 AM PS-FrM-9 Model Analysis of the Ion Reflection on Surfaces and the Profile Evolution during Etching of Si in Chlorine- and Bromine-Containing Plasmas
S. Irie (Kyoto University, Japan); M. Mori, N. Itabashi (Hitachi Ltd.,Japan); K. Eriguchi, K. Ono (Kyoto University, Japan)
In the profile evolution during plasma etching, the reflection of energetic ions on feature sidewalls is appreciated to be important to cause profile anomalies near the feature bottom such as footing and microtrenching. We have developed a model for the feature profile evolution of Si etching in chlorine- and bromine-containing plasmas. The model incorporates an atomic-scale cellular model of surface reaction layers and Monte Carlo calculation for the trajectory of ions on feature surfaces, including their reflection on and penetration into surfaces. The model takes into account the formation of surface reaction multilayers caused by adsorption of neutrals and penetration of ions, deposition of etch products and by-products, and surface oxidation. This paper presents an investigation of effects of the energetic ion scattering on Si surfaces. In the model, the collision between ions and Si atoms on surfaces is assumed to occur, when the impact parameter is smaller than the cutoff radius; the trajectory of ions is analyzed by the Monte Carlo calculation, based on the momentum and energy conservation for an incident ion through successive two-body elastic collisions with substrate Si atoms. The impact parameter and scattering angle are calculated at each collision in the three-dimensional space. The interaction potential of Si ion is necessary for calculation, and the existing classical potential or Stilling-Weber potential is employed for the potential of Si-Cl. However, the potential of Si-Br is not well known, and so to determine the potential function for Si-Br systems, we perform ab initio quantum chemical calculations based on a density-functional method using Gaussian. Then, we analyze the scattering of Cl+ and Br+ ions on Si surfaces based on their potential calculated, showing that there is a difference of the scattering on Si surfaces between Cl+ and Br+ ions. Compared the scattering of Br+ ions with that of Cl+ ions, the number of Br+ ions reflecting from Si substrates is small for all incident angle, the distribution of reflection angles is narrow, and the kinetic energy loss is rather large. The difference of the scattering on Si surfaces is attributed not only to the potential, but also to the masses of atoms. The profile simulation during etching in HBr plasmas, using the potential for Si-Br calculated, is compared with the experiments, to examine the effects of ion reflection on feature surfaces.
Time Period FrM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2007 Schedule