AVS2001 Session PS1-TuA: Dielectric Etch I

Tuesday, October 30, 2001 2:00 PM in Room 103

Tuesday Afternoon

Time Period TuA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2001 Schedule

Start Invited? Item
2:00 PM PS1-TuA-1 Gas Phase and Surface Diagnostic Measurements of High-density Plasma-based Etching Processes for Dielectrics Based on C4F8 Gas Mixtures with Ar, O2 and N2
X. Li (University of Maryland); M. Fukasawa (Sony Corporation, Japan); G.S. Oehrlein (University of Maryland); M. Barela, H.M. Anderson (University of New Mexico)
High-density plasma etching of dielectric films, e.g. SiO2 and low dielectric constant films, is an important process steps in integrated circuit manufacturing. Etching selectivity relative to the etching mask and insulating etch stop materials is required for these processes. Frequently gas mixtures rather than pure fluorocarbon gases are being employed. In this work we have studied the effect of adding O2 and Ar, two important gas additives, to C4F8 discharges produced using an inductively coupled plasma source. We measured fluorocarbon deposition and etching rates, SiO2, resist and silicon etching rates as a function of gas composition. In parallel, the absolute partial pressures of CF2, CF and COF2 radicals were measured using infrared laser absorption spectroscopy. Mass spectrometry was used to establish the importance of other gas phase species. In-situ ellipsometry and x-ray photoemission spectroscopy were used to obtain information on the surface processes for the various thin film materials. The effect of admixing O2 or Ar is shown to produce dramatic and non-obvious changes in the gas phase and surface chemistry, and enables to better address the technological objectives of the etching process. For instance, we have observed that the SiO2/resist and SiO2/Si etching selectivity can be increased by more than a factor of 3x at 20 mTorr by adding about 50% of Ar to C4F8. The infrared absorption measurements show that the partial pressures of CF and CF2 are increased by the addition of Ar despite the lower partial pressure of C4F8, and thicker fluorocarbon surface reaction layers are produced on silicon surfaces during steady-state etching.
2:40 PM PS1-TuA-3 A 0.09µm-capable Plasma Etching of Dielectrics and its Reaction Mechanism
S. Tachi (Hitachi, Ltd., Japan); J. Ghormley (Hitachi America Ltd.)
For a well-controlled dielectric etching with 0.18-0.13µm capability, a considerable amount of molecular species, CF2, has been needed in conjunction with an intentionally suppressed fluorine, F, in (C4F8/CxFy+Ar) plasma, and, at the same time, having a sufficient ion-flux. Multi-dissociative collision phenomenon and multiple gas fragmentation should be avoided in gas phase for this purpose. For designing such plasma, chamber geometry, and processes, further evolution seems to be necessary. In this paper, a highly selective contact etching against the photo-resist mask over the whole wafer, and a relatively high oxide etch rate with good reproducibility are discussed in terms of a new planer-type, high-medium density plasma, having a doubled-near-surface structure, and experimenting with a noble processing with a computationally feed-forwarded architecture.
3:20 PM PS1-TuA-5 Plasma Etching Chemistry and Kinetics for Silicon Oxide Thin Films
O. Kwon, H.H. Sawin (Massachusetts Institute of Technology)
Surface kinetics study of silicon oxide etching with fluorocarbons in inductively coupled plasmas High density fluorocarbon plasma for silicon oxide etching has various ion and neutral species. Depending on the plasma condition, many difficulties arise such as RIE lag, etch stop, and low selectivity of photoresit. Profile evolution modeling can provide understanding of these difficulties in etching as well as trenching, bowing, and faceting. In this research we have measured etching and deposition rates as functions of ion bombardment energy, ion impinging angle, ion-to-neutral flux ration, which are necessary for profile evolution modeling of silicon oxide etching in inductively coupled plasma. In this work, ions and neutrals are extracted directly from plasma to differentially pumped side chambers. Surface reaction is studied by measuring etching and deposition rate with quartz crystal microbalance(QCM). At the same time, ion and neutral composition of the plasma is determined with mass spectrometer. Etching or deposition rate is measured with QCM as functions of ion acceleration energy, ion impinging angle, ion-to-neutral flux ration with various fluorocarbon plasmas. With florocarbon plasma with deposition chemistry, fluorocarbon deposition was observed at low ion energy, high ion impinging angle, low plasma power and high pressure. A model describing both deposition and etching regimes is suggested.
3:40 PM PS1-TuA-6 Oxide Etch Behavior in an Inductively Coupled C4F8 Discharge Characterized by Diode Laser Spectroscopy
H.M. Anderson, M. Barela, G. Courtin (University of New Mexico); K.S. Waters (Intel)
An inductively coupled GEC Reference Cell has been modified to allow etching of oxide wafers under conditions typical of commercial high density plasma reactors. This study reports on the oxide and photoresist etch characteristics as a function of reactor source power, bias power and pressure. Diode laser absorption spectroscopy (DLAS), OES and Langmuir probe measurements were made at the same time. DLAS has shown that C4F8 is largely dissociated to form C2F4, CF2 and CF in the discharge. Over an oxide surface, CF2 and CF are consumed in the oxide etch process, but only when the bias power is sufficient to keep the oxide surface clean through energetic ion bombardment. Langmuir probe measurement of the ion current density was used to estimate the bias voltage at the wafer at which this transition took place. For C4F8, this transition occurs at ~ 60 eV (75 W bias power) in the GEC Cell. At higher bias powers (125 W) where oxide etching is fast (~600 nm/min.), CF2 appears to be the key radical for the etch process since ~50 percent (2.7-3.0 mTorr in a 15 mTorr C4F8 discharge) is consumed. These values were obtained by comparing the CF2 concentrations over non-reactive wafer surfaces versus blanket oxide wafer surfaces undergoing etching. CF is shown to display a similar trend, but its concentration is an order of magnitude less than CF2, and consequently cannot account on a mass basis for the amount of reactants necessary to balance the amount of etch products. Over a PR surface, neither CF2 nor CF concentrations vary as a function of PR etch rate. Consequently, they do not appear to be involved in the PR etch mechanism. However, PR etching is also critically dependent on bias power. PR films etch presumably due to energetic ion bombardment that degrades the PR film, making it liable to attack by fluorine. This project was funded by SEMATECH and NSF
4:20 PM PS1-TuA-8 Characterization of Hydrofluorocarbon Reactants for Selective Silicon Nitride Plasma Etch Applications
E.A. Hudson, H. Zhu, D. Pirkle, J. Luque (Lam Research Corp.); J.P. Booth (Ecole Polytechnique, France)
Certain dielectric etch applications require the removal of silicon nitride films with high selectivity to silicon dioxide. An important example is found in dual-damascene integration schemes using organic low-k dielectric materials and nitride diffusion barriers. At the completion of the via etch, the nitride at the via bottom must be removed without penetrating the oxide hardmask which protects the top surface of the low-k dielectric film. Nitride-to-oxide etch rate selectivities of >10:1 are desirable. To understand how this selectivity may be achieved, and to compare the effects of different hydrofluorocarbon feed gases, a series of processes have been evaluated using a dual-frequency, capacitively-coupled, dielectric etch system. The feed gases for the processes are Ar and O2, combined with a hydrofluorocarbon from the series CHxFy, where x ranges from 0 to 4, and y=4-x. Selectivity trends were determined from blanket nitride and oxide etch rates. Trends in the plasma chemistry were characterized using broad-band UV absorption spectroscopy. CF, CF2, and CH3 densities were measured using absorption bands in the 200-270nm range. This method directly measures absolute densities of ground state radicals in the plasma. Best selectivity results were obtained using CH3F, which produced selectivities >20:1 for blanket films and for patterned wafers. The CF2 density was found to be relatively high for processes using CHF3, and very low for processes using CH3F. In contrast, the CF density followed a more linear trend through the hydrofluorocarbon series. Also the production of CH3 radical from CH3F reactant was much less than from CH4 reactant, suggesting that the direct removal of atomic F from CH3F is not a favorable dissociation pathway. These results and others are interpreted to understand the mechanism for high nitride-to-oxide selectivity.
4:40 PM PS1-TuA-9 Modeling of SiO2 Feature Etching in Fluorocarbon Plasmas: The Effect of Gas Phase Composition on Aspect Ratio Dependent Phenomena
G. Kokkoris, E. Gogolides (National Center for Scientific Research (NCSR) "Demokritos", Greece); A.G. Boudouvis (National Technical University of Athens (NTUA), Greece)
There are several problems during etching of SiO2 features in fluorocarbon plasmas such as Reactive Ion Etching lag (RIE lag), inverse RIE lag,1 and etch stop,2 which have been observed to depend on Aspect Ratio (AR) of the etched feature3 and are included in the general term Aspect Ratio Dependent Etching (ARDE). A goal to achieve in feature etching is Aspect Ratio Independent Etching (ARIE). The context of this work involves modeling of the effect of gas phase composition on AR dependent phenomena during SiO2 feature etching in fluorocarbon plasmas. The effort to accomplish this task requires: a) A predictive surface model4 for open area SiO2 etching; the surface "coverage", θ, is assigned to all species (fluorine atoms, fluorocarbon radicals, and a surface polymer) in the mixed layer created under ion bombardment. The "polymer surface coverage", θP, could be thought of in a more general sense as a normalized thickness of the polymer overlayer. The model coefficients have been calculated4 by fits to beam experiments' results. b) A model to calculate the local values of neutral and ion fluxes (flux calculator5) inside etched features, which takes into account shadowing of neutral and ion flux and re-emission of neutral flux. Charging effects are not explicitly considered at present. c) A coupling of model (a) with (b) to calculate the local etching rate inside features. The focal point of the coupling is the simultaneous5 calculation of local fluxes and effective sticking coefficients of the neutral species at each elementary surface of the structure being etched. The goal of this work is the prediction of several phenomena (RIE lag, inverse RIE lag, etch stop, and ARIE) and their relation with gas phase composition. A new approach is presented based on maps of two types. The maps of the first type show the effect of gas phase composition on etching yield at the bottom of an etched feature. In the second type of maps the effect of gas phase composition on ARDE and ARIE is illustrated. Gas phase composition is divided into regions characterized by the observation of a specific phenomenon in each region (e.g. RIE lag, inverse RIE lag, ARIE). Furthermore, a preliminary investigation of processes windows satisfying specific demands on ARIE, etching rate magnitude, and etching rate (SiO2/Si) selectivity is done.


1
1 M. F. Doemling, N. R. Rueger, and G. S. Oehrlein, Appl. Phys. Lett. 68, 10 (1996).
2 O. Joubert, G. S. Oehrlein, and Y. Zhang, J. Vac. Sci. Technol. A 12, 658 (1994).
3 R. A. Gottscho, C. W. Jurgensen, and D. J. Vitkavage, J. Vac. Sci. Technol. B 10, 2133 (1992).
4 E. Gogolides, P. Vauvert, G. Kokkoris, G. Turban, and A. G. Boudouvis, J. Appl. Phys. 88, 5570 (2000).
5 G. Kokkoris, E. Gogolides, A. G. Boudouvis, Etching of SiO2 and Si features in fluorocarbon plasmas I: Effect of gas phase composition on aspect ratio dependent phenomena in trenches, submitted for publication to Journal of Applied Physics.

5:00 PM PS1-TuA-10 Inductively Coupled Plasma Etching for Arrayed Waveguide Grating Fabrication in Silica on Silicon Technology
S. Bretoiu, D. Di Mola, E. Fioravanti, S. Visona (Agilent Italy)
Arrayed Waveguide Gratings (AWGs) in silica on silicon technology were fabricated and tested in our laboratory. The silica optical layers were all deposited in LPCVD furnaces, and waveguide core was etched using a photoresist mask in an inductively coupled plasma source with C4F8/O2/He gas mixture. This article reports the dependence of important process parameters, included aspect ratio dependent etch rate (A.R.D.E.) effect, selectivity and waveguide side wall angle, on RF power, chamber pressure and gas flow rates. In particular the effects of He and O2 addition on A.R.D.E. effect and waveguide side wall angle were investigated. Based on these results a reliable and high throughput process was set up to etch silica waveguides suitable for AWG fabrication, with etch rate higher than 300 nm/min, selectivity on photoresist higher than 5:1 and waveguide side wall angle higher than 88.5°. Measurements of first 16 Channel 200 GHz AWGs fabricated with this process are presented and discussed. To conclude preliminary results are reported about etching of high aspect ratio (>3:1) trenches with depths greater than 15 µm, to be used for stress releasing grooves in AWGs.
Time Period TuA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2001 Schedule