AVS1998 Session PS2-TuM: Oxide Etching

Tuesday, November 3, 1998 8:20 AM in Room 318/319/320

Tuesday Morning

Time Period TuM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS1998 Schedule

Start Invited? Item
8:20 AM PS2-TuM-1 Very Uniform and High Aspect Ratio Anisotropy SiO2 Etching Process in Magnetic Neutral Loop Discharge Plasma
W. Chen, T. Hayashi, M. Itoh, Y. Morikawa (ULVAC Japan Ltd.); K. Sugita, H. Shindo (Tokai University, Japan); T. Uchida (ULVAC Japan Ltd.)
Magnetic Neutral Loop Discharge (NLD) plasma1 is a new plasma source for dry etching process, and characterized by a well coupling of the input electric field to the electron motion near the Magnetic Neutral Loop (NL) region. Therefore a dense plasma can be produced and controlled spatially by changing the position of the NL.2] As an evidence, uniform SiO2 etching was successfully carried out in several kind of gases and/or those mixture by setting the suitable NL positions.3 Three topics are reported here, which are very uniform etching process by temporal and spatial NL control, high aspect ratio etching for nano-scale pattern in a CHF2+ rich plasma and effect of a simple parallel antenna structure for NLD plasma production. In the uniformity control, the deviation of SiO2 etch rate was obtained within 3.0% (3 σ) on 200mm diameter wafer, by changing the radius of NL temporally during the etching where the magnetic coil current was varied sinusoidally from a constant value with the repetition frequency of 0.1Hz. Meanwhile the etched profiles of the 0.4 micrometer hole pattern with about 2 micrometer in depth were almost vertical (about 89-90 degrees) at the wafer center and edge. In nano-scale pattern etching process, we found that CHF2+ ions played an important role in a very high aspect ratio profile etching. In a CHF2+ ion rich plasma ZEP photo-resist patterned 20nm space was successfully etched with 800nm in depth at the pressure of about 0.3Pa, where CH2F2, C4F8, O2 gases were used. For plasma production, we proposed a parallel turn antenna, which is characterized in low inductance and larger volume plasma heating in comparison with a conventional single turn antenna. Therefore a high density, low electron temperature plasma with a large diameter of about 0.5m can be produced by 13.56MHz as well as 27MHz power supplies.


1
1T. Uchida, Jpn. J. Appl. Phys., 33 (1994) L43
2
2T. Uchida, AVS 44th National Meeting (1997) ID#98, Conf. Paper #PS1-TuM1
3W. Chen, M. Itoh, T. Hayashi and T. Uchida, ibid ID#207, Conf. Paper #PS2-WeM1

8:40 AM PS2-TuM-2 Microloading Effect in Ultra-Fine SiO2 Hole/Trench Etching
Y. Chinzei, T. Kikuchi, M. Ozawa, M. Ogata, Y. Feurprier, T. Ichiki (Toyo University, Japan); H. Shindo (Tokai University, Japan); Y. Horiike (Toyo University, Japan)
The 10% trimethylsilane (TMS) added CF3-O-CHF-CF3 (HFE227)1 and CF3-CO-CF3 gas chemistries were found to provide high aspect ratio SiO2 contact hole using Neutral Loop Discharge plasma. No microloading effect was found down to 0.15µm contact holes provided the bias voltage is high enough (500 V). A new XPS method was employed to analyse the SiO2 bottom contact hole surface (aspect ratio about 2) in the course of etching. Prior to XPS analysis a very thin Al film is deposited to cover the resist layer. Then negatively biasing the substrate allowed the discrimination of the SiO2 bottom contact hole surface from the top surface contribution. Playing an important role in the occurrence of microloading the bias voltage influence on the bottom SiO2 surface composition was investigated for 0.45µm contact hole in the 200 V to 600 V range. The C1s distribution demonstrated C-rich polymer film as evidenced by the C-C component as the major contribution. The total amount of carbon was observed to decrease with increasing the bias voltage while that of F did not change significantly. This strongly suggested that increased bias voltages effectively decrease the polymer film thickness. Futhermore in order to clarify the limits of the HFE227-based chemistry a newly developped 75 keV EB lithography system was used to define line and space patterns of 40 nm to 250 nm using calixarene resist. The percentage of added TMS was slightly varied between 5% to 13%. High TMS addition to the fluorocarbon gas resulted in the occurrence of microloading. For example in the HFE227-based chemistry the microloading appeared for trench width below 50 nm and 110 nm for 10% and 13% TMS respectively. The space width measured at the top of the feature takes into account the increasing resist width due to the film deposition on the sidewalls. Finally no microloading was observed for the addition of 5% TMS then allowing the fabrication of 30 nm trenches. The fine effects of the TMS addition are not yet fully understood due to the complicated plasma and surface reactions involved. EB patterned fine hole array were also fabricated to further evaluate the microloading limits of this fluorocarbon gas chemistry.


1
1Y. Chinzei, M. Ogata, J. Takekawa, N. Hirashita, T. Hayashi, H.Shindo, T. Ichiki and Y. Horiike, PS-WeA10 AVS44th.

9:00 AM PS2-TuM-3 Selective Oxide Etching in a High-Density Plasma Reactor: Gas Phase Chemistry
J.L. Cecchi, T.M. Bauer, A. Inoue, M.E. Littau, M.J. Sowa (University of New Mexico)
Achieving a stable, reproducible selective oxide etch process in high-density plasma reactors continues to prove problematic, owing in large part to the complex chemistry on the wafer surface. The process relies upon polymerizing hydrofluorocarbon (HFC) feedstocks that produce simultaneous deposition and etching, which must be balanced to provide selectivity while avoiding etch stop. The process is further complicated by a preponderance of reactions occurring on internal surfaces, the composition and temperature of which may change in time. Much of this complexity is revealed in the gas phase chemistry that accompanies the selective oxide etch process, and in this paper, we explore the relationship between the gas phase chemistry and the etching characteristics. We measure the concentration of fluorocarbon precursors, including CF3, CF2, and CF, with wavelength-modulated diode laser spectroscopy. Atomic species concentrations are measured by optical emission spectroscopy and ion current is measured with a Langmuir probe. These measurements have been made in inductively coupled plasma (ICP) reactors using a variety of HFC feedstocks over a pressure range of 5 to 60 mTorr, ICP powers of 300 to 2500 W, wafer bias of 0 to 400 W, residence times from 0.1 to 1 s, and with varying distance between the ICP coil and the wafer. By exercising the reactor over this large range of parameter space, we are able to vary the concentrations of most gas phase species by over two orders of magnitude. We have analyzed our data with models which relate the polymer growth rate, oxide etch rate, and resist etch rate to the gas phase species concentrations. From this we are able to infer the role of the gas phase precursors, as well as extract kinetic parameters for the processes.
9:40 AM PS2-TuM-5 Analysis of C4F8/Ar/O2 Plasma for High-aspect Contact Hole Etching using Narrow-gap RIE
T. Tatsumi, H. Hayashi, S. Morishita, S. Noda, Y. Hikosaka, M. Okigawa, M. Inoue, M. Sekine (Association of Super-Advanced Electronics Technologies (ASET), Japan)
Plasma characteristics and radical species in a dual-frequency (27/0.8MHz) parallel plate system were measured using various in-situ measurement tools, such as infrared laser absorption spectroscopy (IRLAS), appearance mass spectroscopy (AMS), and optical emission spectroscopy (OES). In particular, the densities of key radical species, CxFy, CFx, F, C, SiFx and O were analyzed in conjunction with SiO2 etch performance. We found that the radical composition could be controlled by limiting the number of collisions with electrons and the interaction with the wall materials, besides the composition of the inlet gases. The number of collisions with electrons can be described as τNe<σv>, where τ is residence time, Ne is electron density, σ is collision cross section of dissociation, and v is electron velocity. <σv> means integrated value of σv multiplied by normalized electron energy distribution function.1 When τNe<σv> was large, C4F8 was dissociated excessively and the relative density of F radical increased. Under long-residence-time conditions, large molecules, such as CxFy and SiFx, were also observed that were released from the top electrode surface made of Si. The etch rate and the selectivities to photoresist and underlying Si were affected by not only the [F]/[CFx] (x=1,2,3) ratio but also these depositive species, i.e., the large molecules. It was possible to suppress both the excessive dissociation of C4F8 and the excessive deposition of the large species under the short-residence-time condition. We achieved a 0.09 µmφ contact hole with an aspect ratio of 11, under low [F]/[CFx] ratio plasma conditions established by a short residence time (6 ms), and under an optimized radical/ion flux ratio controlled by the Ar diluted process.


1This work was supported by NEDO.
1T.Tatsumi et al., Jpn. J. Appl. Phys., 37 (1998); to be published.

10:20 AM PS2-TuM-7 Plasma Kinetics of Silicon Dioxide Etching with Fluorocarbon
H. Chae, H. Sawin (Massachusetts Institute of Technology); M.T. Mocella (DuPont Fluoroproducts)
Plasma kinetics of silicon dioxide etching was studied in various conditions: i) oxide cleaning after PECVD(plasma enhanced chemical vapor deposition) ii) oxide etching in an inductively coupled plasma. Chamber cleaning after PECVD of silicon dioxide is known to be one of the major emission sources of perfluorocompounds (PFCs) which have high global warming potentials and very long atmospheric lifetimes. Silicon dioxide etching mechanism in the cleaning condition was studied in this work with various kinds of fluorine containing compounds such as C2F6, CF3CF2OCF=CF2 (PEVE), NF3, F2. Silicon dioxide was etched in high temperature of 400°C in parallel plate plasma reactor after TEOS (tetraethyl-orthosilicate) oxide deposited by PECVD. The kinetic study using actinometry measurement of atomic F shows linear correlation between silicon dioxide etching rate and F atom concentration. Arrhenius plots show low activation energies about 0.05 eV below 100 °C and about 0.16 eV above 200°C. The activation energy measurement indicates that ion-enhanced etching is dominant mechanism below 100°C and F atom spontaneous chemical etching is dominant above 200°C. Silicon dioxide etching in high-density plasma can provide high etching rate and good directionality without device damage. However, there are a lot of concerns about RIE(reactive ion etching) lag, inverse RIE lag, etch stop and low photoresist selectivity. Profile evolution modeling can reveal the mechanism of the concerns mentioned above as well as other detail feature profiles like bowing, trenching and faceting. However, parameters for the profile modeling are not available. In this study an inductively couple plasma reactor was built with diagnostic capabilities of mass spectrometer and quartz crystal microbalance. Oxide etching and fluorocarbon deposition rate dependence on ion energy, ion-to-neutral flux ratio, ion impingement angle, and surface temperature were measured. At the same time, ion composition was measured with mass spectrometer.
10:40 AM PS2-TuM-8 Effect of Ion Bombarding Energies in Silicon Dioxide Etching
Y. Hikosaka, H. Hayashi, K. Kinoshita, S. Noda (Association of Super-Advanced Electronics Technologies (ASET), Japan); H. Tshuboi, M. Endo, N. Mizutani, Y. Nagata (ULVAC Ltd., Japan); M. Sekine (ASET, Japan)
Ion energy is one of the key parameters in the fabrication of high-aspect-ratio contact holes. Ions incident to the wafer have both high- and low-energy components that depend on the bias frequency and the ion transit time across the rf sheath. However, the relationship between ion energies and etching characteristics such as rate, selectivity, profile and RIE-lag have not been well understood. Our goal is to clarify the role of high- and low-energy ions in SiO2 etching. We used a planar-type NLD plasma source operating at 13.56 MHz. An rf-floating ion energy analyzer with a mass spectrometer was equipped inside the rf-biased electrode to measure IEDs (ion energy distributions) and ion fluxes at the rf-driven electrode. First, IED measurements were made for Ar/C4F8/O2 plasma at rf biases of 2 and 13.56 MHz. The CF1+ ion is the dominant species for both bias frequencies. The ion energies of CF1+ were distributed from 89 to 715 eV at 2 MHz, wider than at 13.56 MHz. Next, we measured SiO2 etch rates, self-bias voltages and IEDs as a function of bias rf power for both frequencies. We found that the etch rates were defined by the energy and flux of ions mainly at the higher peak region of the IED, whereas the each energy-splitting width of the IEDs and the self-bias voltage at 2 MHz were different from those at 13.56 MHz. Next, we estimated the energy dependence of the etch yield under actual etching condition, using the obtained IEDs and etch rates. We found that the etch yield of SiO2 increased monotonically with increase in ion energy and tended to saturate at a value of 1.5 molecules/ion at energy levels exceeding 800 eV. This energy dependence of the etch yield led to a 65 % contribution of high-energy ions to the etch rate.


1This work was supported by NEDO.

11:00 AM PS2-TuM-9 Studies of High Density Oxide Etch Mechanisms with a Physically-based Profile Simulator
V. Vahedi, D.J. Cooperberg, J.M. Cook, L. Marquez, E. Hudson, J. Winniczek (Lam Research Corporation)
Dielectric etching accounts for about forty-five percent of the etch steps in a semiconductor fabrication process, and with emerging device integration technologies this percentage will soon increase. In contrast to other etch processes, highly selective dielectric etching using high density fluorocarbon plasmas results in simultaneous etching and deposition. In order to implement dielectric etching successfully in a production environment, it is critical to understand how to balance the etching and deposition components. The required balance will be a strong function of the properties of the microstructures being produced as well as the aspect ratio of the features. While there are many proposed mechanisms to explain the dependence of this balance on aspect ratio, it is not clear which mechanisms are the dominant ones under a given set of process conditions. We are using our semi-empirical profile simulator to investigate the relative importance of various mechanisms leading to aspect ratio dependent etching (ARDE) in dielectric etching. Our dielectric etch model includes ion-enhanced etching, physical sputtering and polymer deposition as well as realistic ion energy distribution functions. We will present the results of our polymer deposition experiments which were performed to determine polymer sticking coefficients and study the role of ion-assisted deposition in sub 0.5µm features. We will also present results from sputtering experiments which were designed to measure carefully the angular and energy dependence of sputter yield of photoresist and oxide. The rest of the parameters were set using our calibration scheme which leads to optimal agreement between simulation and digitized SEM data. We will also present typical profiles under various process conditions.
11:20 AM PS2-TuM-10 The Challenge of Predictive Profile Simulators for Dielectric Etch
G.S. Hwang, J. Kenney, K.P. Giapis (California Institute of Technology)
Current ULSI technology requires extensive plasma etching of dielectric materials, a need that will further increase with the anticipated move to copper interconnects and low-k dielectrics. The increased importance of dielectric etch, when combined with tighter tolerances for profile control at larger aspect ratios, presents a unique opportunity for fundamental research to assist in the development of etch processes in a timely and cost-effective manner. To be sure, understanding plasma etching of dielectric materials poses a challenge, considerably taller than that of metal or polysilicon etch for two reasons: a) Etching of dielectrics proceeds by more complex surface chemistry, involving simultaneous deposition and etch processes, and b) Differential surface charging is significant. These differences can lead to etch rate dependencies and profile irregularities that are unique to dielectric etching. Direct Simulation Monte Carlo techniques are used to study dielectric etch in high density plasmas. The simulations include sheath theory, microstructure charging, surface currents, and etching by a simple sputtering model. We explicitly investigate the etch rate dependence as a function of etch depth on plasma parameters and dielectric quality (as judged by a surface discharge threshold). The results suggest that ion shadowing (aspect ratio dependent) and surface currents (absolute depth dependent) play a crucial role in dielectric etch. Based on the simulations, we develop an empirical relationship to capture the etch rate dependencies on etch time, ion temperature, ion energy, surface discharge threshold, aspect ratio, and etch depth. The relationship describes well published etch rate data and reported parameter dependencies for various oxide etch chemistries and can be used to predict the etch stop occurrence. Furthermore, profile evolution simulations are performed to investigate the rigin of two profile peculiarities in oxide etch: microtrenching and sidewall bowing. The results indicate that ion scattering is not the dominant mechanism by which these irregularities form. Rather, charging effects at the trench bottom and mask sidewalls appear to be mainly responsible as asserted by a comparison of predicted with experimental profiles.
11:40 AM PS2-TuM-11 Control of Profile in High Aspect Ratio Contact and Via Etch
C.H. Bjorkman, K. Doan, J. Wang, B. Pu, H. Shan (Applied Materials, Inc.); N. Kuo, P. Chang (Applied Materials, Taiwan)
The purpose of this study was to investigate which parameters control the profile in high aspect ratio (HAR) dielectric etch. Holes with aspect ratios in the range 6:1 - 10:1 were etched in a low-to-medium density MERIE etch chamber. A common observation among the fluorocarbon chemistries we investigated was the variation in profile angle from the top to the bottom of the hole. For example, the profile of a close to optimized single step chemistry starts off with a taper angle of 86-87°. After reaching an aspect ratio of approximately 3:1, the profile starts to straighten out. However, this trend is reversed as endpoint is approached. Typically, the taper angle at the bottom of the hole is approximately the same as what we observe at the top of the hole. This tapered bottom profile tends to decrease the CD (critical dimension) with a resulting increase in contact resistance. We divided the profiles into three components in order to quantitatively analyze our results: (1) any flaring and/or necking observed in top portion of the hole, (2) bowing or taper in the center portion and (3) excessive tapering and/or rounding of the bottom of the hole. We found that any initial tapering will remain and thus give rise to flaring at the top of hole. This can be prevented by minimizing polymer deposition on the sidewalls during the first third of the etch. We achieved this by making the chemistry leaner, raising the pressure and raising the wafer temperature. Controlling the profile during the second portion of the etch turns out to be a compromise between preventing bowing and maintaining a large bottom CD. The main parameter controlling this portion of the etch was determined to be the pressure. As for the last portion of the etch, the tendency to taper and thus reduce bottom CD was found to be controlled by the DC-bias. We obtained a straighter profile by decreasing both pressure and B-field and thus increasing the DC-bias.
Time Period TuM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS1998 Schedule