AVS1997 Session PS2-TuM: Chamber Cleaning and Downstream Processing

Tuesday, October 21, 1997 8:20 AM in Room A5/6

Tuesday Morning

Time Period TuM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS1997 Schedule

Start Invited? Item
8:20 AM PS2-TuM-1 Characterization of Dry Cleans in a Polysilicon Etch Reactor
C.A. Nichols, R.L. Jarecki, G.D. Tipton (Sandia National Laboratories); L. Feng, T. Varga (Applied Materials, Inc.)
The effectiveness of plasma dry cleans in an inductively coupled plasma polysilicon etch reactor has been studied. Feed gases of NF3, SF6 and CF4 were used to remove chamber wall films deposited during Cl2 etching of blank silicon wafers. During the deposition and etch processes, a quartz crystal microbalance (QCM), F/Ar actinometry, and a Langmuir double probe were used to determine the plasma and wall state. The results indicate that the wall etch rate is largely desorption limited, showing no increase in rate with increasing availability of atomic fluorine. NF3 and CF4 showed comparable clean rates (2 x SF6 rates) although NF3 provided the largest atomic fluorine densities by a factor of two to three. This is due to the higher ion saturation current associated with CF4 relative to NF3. In general, the highest wall etch rates corresponded to the high power, low pressure regime, where ion flux at the wall was highest. F-atom concentration showed little variation with increasing power. A comparison of optical endpoint techniques to QCM etch endpoint is presented. Optical endpoint techniques are superior to QCM etch endpoint due to the non-uniform deposition and wall cleaning in the chamber. Following a dry clean, it is shown that reactant concentrations can vary significantly from the pre-dry clean case, causing a decrease in etch rate for some processes. We discuss the effectiveness of short duration re-seasoning steps to recover etch performance following a dry clean. -- This work was supported by the United States Department of Energy under Contract DE-AC04-94AL85000 and by Applied Materials, Inc. Sandia is a multiprogram laboratory operated by the Sandia Corporation, a Lockheed Martin Company, for the United States Government.
8:40 AM PS2-TuM-2 The Study of Plasma Clean Process On TCPTM 9400SE Through Optical Emission Spectrometer
M.S. Shen, Y.M. Melaku (Lam Research Corporation)
In situ plasma clean played an important role in extending the meantime between chamber wet clean. This paper presents work on waferless plasma clean for polycide application on TCPTM 9400SE system using optical emission spectroscopy. One of the advantages of waferless clean was endpoint capability. For bare Si wafers cycled with polycide process, SiF emission peak near 440 nm and free F peaks near 700 nm clearly indicated when the silicon based residues were removed during plasma clean. For blanket resist cycled wafers, clean process evaluation was based on etch rate of a blanket resist wafer because endpoint time was too short to be a valid criterion. The experiments compared endpoint time and resist etch rate for SF6 and NF3 with Cl2 and O2 addition. The results indicated that NF3 alone was most effective in cleaning Si based residues. Cl2 addition to NF3 and SF6 showed similar effect. Adding small amount of Cl2 had little effect on Si residue removal rate while resist etch rate increased 10%. Further increasing of Cl2 had counter effect both on Si residues and resist etch rate. The effect of O2 addition however showed larger difference between NF3 and SF6. For NF3, O2 addition had a detrimental effect on Si removal rate while the resist etch rate increased rapidly. For SF6, small amounts of O2 flow has little impact on Si residue removal rate and the resist etch rate was not increased nearly as fast as with NF3. The difference in O2 effect can be interpreted by examining F intensity as function of O2 flow. F signal dropped linearly as a function of O2 flow for NF3. O2 competed with NF3 for TCP power and reduced F production, thus reducing Si residue removal rate while enhancing the resist etch rate. In contrast, for SF6 plasma, F was increased in the beginning and then gradually decreased. Spectroscopy comparison between SF6/O2 and pure SO2 indicated that oxygen combined with S to form SOx complex, releasing more F. Since oxygen was partially consumed by S, resist etch rate was not increased as fast as the NF3. For Si residues, more F generated by small amounts of O2 flow increased the removal rate while too much oxygen oxidized the Si. The optimal process was NF3 or SF6 with small amounts of Cl2 and O2 addition.
9:00 AM PS2-TuM-3 Fundamental Studies Applied to Environmental Challenges in Plasma Processing
D.B. Graves (University of California, Berkeley)
Plasma processes used in semiconductor manufacturing can result in environmental, safety and health (ESH) problems. The most well-known example, and probably the currently most serious problem, is related to the release to the environment of greenhouse gases (primarily perfluorinated compounds, or PFCs). Many such gases are used, primarily for chamber cleaning and etching, including CF4, C2F6, CHF3, NF3 and SF6. The semiconductor industry is responsible for only a small fraction of worldwide greenhouse gas emissions. However, the fact that many of these gases have extraordinarily long lifetimes in the upper atmosphere (on the order of tens of thousands of years) has prompted serious efforts to find ways to minimize if not completely eliminate the emissions. We can expect this problem to increase in the future since the industry is growing rapidly (increasing overall emissions using current technology), and public awareness and political focus on the problem of greenhouse gas emissions will likely increase. Efforts are currently underway among chip manufacturers, plasma equipment suppliers, and gas suppliers. The plasma processing research community has an important role to play because plasma processes are unusually complex chemical environments, limiting the ultimate effectiveness of purely empirical studies. In this talk, I will review the challenges facing the industry, focusing primarily on the ways that fundamental studies of plasma processes, based on diagnostics and modeling, can help in identifying gas alternatives, in optimizing existing processes, and in examining options such as capture/recycle and downstream abatement.
9:40 AM PS2-TuM-5 Characterization of In-Situ O2 Clean for High Density Plasma Silicon Dioxide Etching
E.A. Hudson, J. Winniczek, J.M. Cook (Lam Research Corporation)
Perfluorocarbon and hydrofluorocarbon-based etch chemistries, used for SiO2 etching plasmas, generate fluorocarbon polymer films within the reactor. Therefore reliable cleaning methods are required to minimize particle generation and to ensure process repeatability. The removal of this fluorocarbon polymer from a high density plasma SiO2 etch reactor has been characterized by optical emission spectroscopy. Argon actinometry was used to measure the atomic fluorine concentration of the O2 in-situ cleaning plasma. Fluorine is liberated as the fluorocarbon film is ashed, and the measured fluorine levels reflect the amount of polymer being consumed at any given time. Trends in polymer removal rates were determined for various process parameters, including inductively-coupled RF power, gas flow, and reactor pressure. This non-invasive measurement allows straightforward optimization of the in-situ clean process.
10:00 AM PS2-TuM-6 Evaluation of Trifluoroacetic Anhydride as an Alternative PECVD Chamber Clean Chemistry Using Optical Emission Spectroscopy, Quadrupole Mass Spectroscopy, and Fourier Transform Infrared Spectroscopy
L.C. Pruette, S.M. Karecki (Massachusetts Institute Technology); J.G. Langan, S.A. Rogers, R.J. Ciotti, B.S. Felker (Air Products and Chemicals, Inc.); L.R. Reif (Massachusetts Institute of Technology)
One environmental issue currently facing the semiconductor industry is the emission of perfluorinated compounds (PFCs) from a variety of processes including chamber cleaning following plasma enhanced chemical vapor deposition (PECVD) of dielectrics. The emission of PFCs has been targeted for reduction due to the contribution of these species to global warming. An option under investigation is the use of alternative compounds which emit lower amounts of global warming species. We present here a study of chamber cleaning times and emissions from the use of one such species, trifluoroacetic anhydride (TFAA), in a widely-used commercial PECVD tool, the Novellus Concept One 200. A central composite design-of-experiments was employed to calculate response surfaces for the chamber clean time and PFC emission concentrations as the chamber pressure, TFAA flow rate, and oxygen flow rate were varied. The chamber cleaning times were measured using optical emission spectroscopy and quadrupole mass spectrometry; the PFC emissions were quantified using quadrupole mass spectrometry and Fourier transform infrared (FTIR) spectroscopy. The performance of TFAA is compared to standard Novellus Concept One 200 C2F6 and C3F8 chamber cleaning processes and to processes in which the effect of augmentation of C2F6 with NF3 is studied.
10:20 AM PS2-TuM-7 Optimizing Destruction Efficiencies in Electronegative Discharges: The Importance of the Impedance Phase Angle.
W.R. Entley, J.G. Langan, B.S. Felker (Air Products & Chemicals, Inc.); M.A. Sobolewski (National Institute of Standards & Technology)
Fluorinated gas plasmas are widely employed for cleaning plasma enhanced chemical vapor deposition chambers and for etching applications in integrated circuit manufacturing. The impedances of these discharges can vary over a wide range depending upon operating pressure, applied radio-frequency power, feed gas composition, reactor geometry, and external circuitry. Previously we have shown that both the power coupling efficiency and the distribution of power in these discharges is strongly correlated with the plasma impedance. To study the implication of this correlation, mass spectrometry and optical emission spectrometry were employed to measure the destruction efficiencies and the emission intensities of fluorinated gas discharges (NF3/Ar, NF3/He, C2F6/O2, and CF4/O2) in a 13.56 Mhz, capacitively-coupled, GEC reference cell. We discovered an optimal phase angle region of the discharge impedance in which the applied radio-frequency energy is most efficiently used by the plasma. In this region, local optima are observed in the destruction efficiencies of the fluorinated gases and in the fluorine atom optical emission intensity, indicating that the concentration of chemically reactive species is greatest. This result is explained by the mechanism in which power is coupled into the plasma. Between a low pressure capacitive regime and a high pressure resistive regime, at an impedance phase angle of approximately negative 35 degrees, nearly all of the current flowing from the powered electrode goes to the grounded electrode. In this transition region, power is dissipated largely by electrons in the bulk plasma, resulting in increased destruction efficiencies and emission. The role of inert gas diluents (He, Ar, and Kr) in NF3 discharges will also be discussed.
10:40 AM PS2-TuM-8 Ultra-High Selectivity Silicon Nitride Etch Process Using an Inductively Coupled Plasma (ICP) Source
Y. Wang, L. Luo (Mattson Technology, Inc.)
Silicon nitride is widely used as a mask material for the LOCOS etch process as well as a stop layer for the SAC process in advanced device fabrication. Selective removal of this masking material is becoming increasingly important with a dry process because the thickness of pad oxide film decreases as device geometries decrease. This requires an etch process with high selectivity of nitride to oxide. A very high selectivity nitride etch process has been developed for use on an isotropic etching system, the Aspen LiteEtch system from Mattson Technology, Inc, which employs an ICP source operating in downstream mode. An NF3/NH3 based (non-chlorine) chemistry is implemented for this high selectivity nitride etch application. Etch rate selectivity of LPCVD nitride to thermal oxide greater than 100:1 is achieved with a nitride etch rate of about 500Å/min. Optimized NF3/O2 etch process conditions typically give nitride to oxide selectivity of about 12 to 1. The nitride etch rate is enhanced at high pressure and high O2 to NF3 ratios - conditions which provide greater abundance of free fluorine radicals. Oxide etching is suppressed by lowering the process temperature since the oxide has a higher activation energy than nitride. To achieve higher nitride selectivity in a non-chlorine chemistry, NH3 was added to the chamber as a deposition agent to inhibit oxide etching. Addition of NH3 slows both nitride and oxide etch rates, but the slowing is greater for oxide than for nitride. The etch rate for oxide may be reduced to zero while that for the nitride remains reasonably high, allowing essentially infinite selectivity. Process trends will be discussed along with surface analysis (XPS) results, and a mechanism for the etch rate trend will be proposed. The process is stable, repeatable and creates no particles. A split lot test on device wafers against standard wet etch process demonstrates superior process and device performance.
11:00 AM PS2-TuM-9 Initial Results of a Quartz Crystal Microbalance Study for Characterizing Atomic Oxygen in Plasma Tools.
A.K. Srivastava, P. Sakthivel, G.C. Herdt, M. Kamarehi (Fusion Semiconductor Systems)
Ionized species from a steady state plasma have been extensively characterized using devices like Langmuir probes. In oxygen discharges commonly used in photoresist ashing processes, probes can provide valuable information on ion density and electron temperatures. However, they cannot be used to characterize the uncharged atomic oxygen (AO) species that are most useful for standard ashing. Measurement of this vital species is left mostly to optical techniques or residual gas analysis, which have their own limitations. This paper discusses the measurement of AO concentrations in an oxygen discharge using a quartz crystal microbalance (QCM), which has been previously used for monitoring thin film deposition. The sensor consists of a silver coated quartz crystal that oscillates at its specific resonant frequency (typically around 6 MHz), which is dependent on the mass of the crystal. When exposed to AO, the silver oxidizes rapidly, leading to a change in its mass, and a consequent change in the frequency as indicated by the "Sauerbrey relationship". The frequency change is measured with a counter, and when plotted versus time, it may be fitted to a standard diffusion limited oxide growth model. This model is then used to determine the specific AO flux to the crystal, and by inference, to the wafer. In this paper, initial results are presented, as measured in the Fusion MCU (standard downstream microwave asher) and Fusion ES (fluorine compatible enhanced strip asher). The results indicate AO densities of the order of 1012 cm-3 on the wafer. There is a marked increase in AO concentration with a nitrogen bleed into the plasma, and a decrease in AO concentration with increasing gas flow at a constant pressure. Also, the addition of CF4 to the oxygen plasma greatly changes the surface reactions on the crystal surface, such that the standard diffusion limited growth model is not valid. Correlation between AO concentration and ash results on coated prime wafers is established in this paper.
11:20 AM PS2-TuM-10 Fast Isotropic Etching of Phototresist and Silicon using an Expanding Thermal Plasma
M.C.M. van de Sanden, O. van der Straaten, D.C. Schram (Eindhoven University of Technology, The Netherlands)
By downstream admixing of oxygen or fluorine containing precursor gases (CF4 or SF6) to a thermal argon plasma which expands into a low pressure background (0.3 mbar), an intense source of low energy (< 2 eV) radicals is obtained. Possible applications are e.g. fast cleaning of deposition or etching reactor vessels or damage free stripping of photoresist. A substrate holder which controls the temperature to within 10 K using Helium back flow is used for etch experiments. Etch rates are measured using a simple micrometer in case of silicon wafer etching and in situ ellipsometry and infrared interferometry in case of photoresist strip studies. Etch rate studies are performed on crystalline silicon which is etched using mixtures of CF4/O2 or SF6. Dependence of the etch rate on substrate temperature and vessel pressure is investigated. Etch rate as high as 25 µm/min are obtained at a vessel pressure of 5 mbar using SF6 with however marginal homogeneity over 10 cm. The etch rate depends only weakly on the temperature. Applying a substrate bias enhances the etch rate at low pressures by a factor of 6-9, while no increase is observed for pressures higher than 4 mbar. Stripping of photoresist (AZ5214E), spinned onto crystalline silicon, is studied as a function of pressure and substrate temperature using an argon/oxygen plasma. Stripping rates as high as 3 µm/min are observed at a pressure of 5 mbar. The stripping rate is activated with an activation energy of approximately 500 K in the temperature range of -50 up to 250 °C.
11:40 AM PS2-TuM-11 Ion Density Distribution in an Expanding Thermal Nitrogen Plasma for Plasma/Surface Interaction Studies
G.J. Brussaard, A. de Graaf, M.C.M. van de Sanden, D.C. Schram (Eindhoven University of Technology, The Netherlands)
An expanding thermal plasma has been designed as a high intensity source for plasma/surface interaction studies, specifically the proces of nitriding by nitrogen ions. A series of experiments has been performed to determine the relative density distribution of N+ and N2 + in the nitrogen plasma. First microwave interferometry measurements are performed to determine the (total) electron density as a function of input power and gas flow through the plasma. Because the microwave interferometry only provides ‘line of sight’ information, Langmuir probe measurements are used to determine the electron density distribution across the plasma. From the ratio of ion to electron saturation current the relative ion density distribution of N+ and N2 + is determined. These results are compared to optical emission of the N2 + transition at 391.4 nm (First Negative System). To explain the results, a simple kinetic model is proposed taking into account charge exchange and dissociative recombination as the main processes determining the ion distribution. Both model and experiments show that at high electron densities (> 1017 m-3) the dominant ion on the axis of the expanding plasma is the N+ ion. Further downstream and on the edges of the plasma where the electron density is lower, N2 + becomes the dominant ion. The location of the transition between the N+ dominated plasma and the N2 + plasma can be influenced by the gas flow, the background pressure and the current through the plasma.
Time Period TuM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS1997 Schedule