AVS1996 Session PS-TuA: Pulsed Plasma Processing

Tuesday, October 15, 1996 2:00 PM in Room 201C

Tuesday Afternoon

Time Period TuA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS1996 Schedule

Start Invited? Item
2:00 PM PS-TuA-1 Pulsed Plasma Etching and Deposition
H. Sugai, K. Nakamura, T. Ahn (Nagoya University, Japan)
There has been a growing interest in time-modulating a discharge power since it has often led to a dramatic improvement in performance of various plasma processes. In CVD, for example, a rf power modulation of a long period (0.5-5 ms) reduces particulates in a capacitively-coupled silane plasma. On the other hand, a microwave power modulation of a much shorter period (10 \mu\s) suppresses anomalous local side-wall etch and charge-up damage in ECR chlorine etching. In fact, such pulsed power processing is a powerful tool for controlling plasma properties in many aspects. In order to extend its capabilities further, the physics and chemistry of pulsed plasmas have to be understood based on the detailed plasma diagnostics. Firstly, we discuss the discharge-on and -off times, taking account of various time scales such as residence time, radical lifetime, ion loss and electron cooling times. A key effect of the discharge power modulation is a large temporal change in electron temperature, which may enable a control of radical composition of plasmas. In case of electronegative gas, another pronounced effect appears: a negative ion density significantly increases in afterglow, thus modifying a structure of rf sheath around a substrate. Such example is described in the case of a pulsed chlorine ICP. Time-resolved measurements of electrons, chlorine atoms and negative ions were performed together with measurements of instantaneous plasma potential. As a result of electron cooling and negative ion yield in afterglow, electrons are found to be accelerated toward a rf bias electrode, thus neutralizing positive surface charges on high-aspect-ratio micro-patterns.
2:40 PM PS-TuA-3 Reduction of Electron Shading Damage and Notching using Synchronously Biased Cl\sub 2\ Pulsed Plasma.
A. Hasegawa, Y. Hikosaka, K. Hashimoto, M.. Nakamura (Fujitsu Ltd., Japan)
In pulsed plasmas, electron temperature (Te) varies periodicall y. So, the coolest electrons are utilized to enter the substrate by bia sing the substrate at the timing of Te minimum. Inductively coupled plasma was generated with 13.56MHz rf source power, and on/off pulse durations were used 5\mu\sec/10\mu\sec. Wafer bias fre quency was kept at 66.7KHz. We used MOS capacitors connected to shaded antennas to evaluate the el ectron shading damage. The antennas were covered with 1.2\mu\m-thick re sist of 0.6\mu\m line and space patterns. The gate oxide was 4nm thick. We measured the brea kdown voltage after CW or pulsed Cl\sub 2\ plasma exposure varying the b ias timing. The bias voltage was 50V. The exposure to the CW plasma ca used breakdown for the 55% of capacitors. Even with pulsed plasma, 53% capacitors showed breakdown when biasing to the Te maximum. With biasin g to the Te minimum, however, the damage was reduced significantly and t he breakdown was 3%. Doped poly-Si was etched with 250V Vpp and 30% overetch. In CW plasma, notching was clearly observed. On the other hand, vertical profile with out notching was obtained with synchronously biased pulsed plasma. To clarify the mechanisms of electron shading damage, we developed a n ovel probe which consisted of two electrodes; they had the same areas, b ut one is shaded by high aspect ratio insulator patterns and the other i s exposed with wide open patterns. Potential deferences and currents be tween the two electrodes were reduced with the synchronously biasing.
3:00 PM PS-TuA-4 Effects of Magnetic Fields for Generation of Negative Ion in Pulse-time-modulated Plasma
S. Samukawa (NEC Corporation, Japan); T. Mieno (Shizuoka University, Japan); H. Ohtake (NEC Corporation, Japan)
Charge-free silicon etching is performed using ECR Cl2 plasma modulated at a pulse timing of a few tens of microseconds. A Large quantity of negative ions are produced in the afterglow of pulse-time modulated ECR plasma. The magnetic confinement of electrons causes a large amount of negative ions at the afterglow in the pulsed ECR plasma, whrereas the pulsed ICP generates a smaller amount of negative ions due to high extinction of electrons at the afterglow. It is suggested that the pulsed ECR plasma is very effective to generate a large number of negative ions at the afterglow, as compared with the other plasmas, such as ICP. As a result, in the pulse-time-modulated ECR plasma, charged particles in the sheath are drastically modified from the continuous discharge, and they should improve the selective etching and eliminate charge accumulation on the substrate. Additionally, negative-ion generation dramatically improves the plasma potential distributions in the nonuniform ECR plasma. This technique is also suitable for large scaled etching processes.
4:00 PM PS-TuA-7 Measurements of rf Potential Oscillation and Negative Ions in a Pulsed Large-Diameter Chlorine Plasma
T. Ahn, M. Ito, K. Nakamura, H. Sugai (Nagoya University, Japan)
Recently, many results have been reported on the time-modulation effects of high-density low-pressure plasmas, especially, on a charge-up suppression effect in a gate etching process. To elucidate the mechanism, comprehensive diagnostics are required in a time-resolved manner. We have been reported such measurement results including a time-varing absolute density of chlorine negative ions by a unique photodetachment technique and a neutral atom density by LIF technique. In this study, to understand surface reactions more intensively, we carried out spatial and time-resolved measurements of the plasma potential oscillation using a capacitive probe and energy distributions of ions impinging onto the rf-biased electrode using a cylindrical mirror analyzer. 13.56MHz rf power was applied to a single loop ICP antenna wound around a 230mm-ID quartz tube to generate a plasma and modulated in a period of tens \mu\s, typically. At first, measurements of the rf potential oscillation reveals transition of the rf-biased sheath structure from electron deceleration to acceleration in the late afterglow where negative ions are dominant. Such electron acceleration is considered to play a key role in charge-up suppression. Moreover, negative ion acceleration toward the etch electrode is excepted, which may assist the etching in afterglow phase. The time-varing energy distribution of positive and negative ions will be measured on each pulse period at the position of the rf-bias electrode.
4:20 PM PS-TuA-8 Deposition of Fluoropolymers from a Pulsed rf Plasma
N. Mackie, E. Fisher (Colorado State University)
We have examined pulsed plasmas as a means to control the composition of plasma polymerized films. Pulsed plasmas have an advantage over traditional continuous wave (CW) plasmas by keeping many of the functional groups of the source gas intact while depositing polymer films. This molecular tailoring of polymers allows us to deposit new materials which would be difficult by other plasma polymerization methods. We have studied the effect of pulse parameters on the bulk and surface properties of plasma polymerized films by varying pulse on time, off time and duty cycle for C\sub 2\F\sub 6\/H\sub 2\, C\sub 6\F\sub 6\, and C\sub 6\F\sub 3\H\sub 3\ plasmas. Bulk structure of the films has been characterized using Fourier transform infrared (FTIR) spectroscopy. The surface structure of the deposited films has been analyzed using scanning electron microscopy (SEM), X-ray photoelectron spectroscopy (XPS) and contact angle measurements. For plasma polymers deposited from a feed composition of 90% C\sub 2\F\sub 6\ and 10% H\sub 2\ we have seen an increase in the sharpness of the symmetric and asymmetric modes of the CF\sub 2\ IR band. This indicates the deposition of polymer film with a structure close to polytetrafluoroethylene. In contrast, films deposited from a CW plasma are inhomogeneous with CF, CF\sub 2\, and CF\sub 3\ moieties present. For aromatic precursors, significant aromatic structure can be retained in the polymer film.
4:40 PM PS-TuA-9 CF\sub x\ Radical Density Measurement by 2DLIF in Inductively Coupled Fluorocarbon-Gas Pulse Plasma
H. Nakagawa (Association of Super-Advanced Electronics Technologies (ASET), Japan); S. Hayashi, M. Yamanaka, M. Kubota (Matsushita Electric Industrial Co., Ltd., Japan)
As a next generation dry etching technology, a radical generation control in a plasma discharge is indispensable, in order to produce high selectivity, fine perpendicular profile and reproducibility in a high-aspect-ratio contact hole etching. Since a pulse plasma technique has a potential to provide a solution for the radical generation control, many studies have been reported on the pulse plasma in high density plasma sources such as ECR(Electron Cyclotron Resonance) plasma[1], and ICP(Inductively Coupled Plasma)[2]. In this work, we have used an ICP source of Multi-Spiral-Coil type(MSC-ICP) [3] which employs an RF (Radio Frequency) of 13.56MHz and a rectangle modulation pulse of 100kHz. A laser induced fluorescence spectroscopy with a two-dimensional CCD camera system(2DLIF) has been applied to measure a density of CF and CF\sub 2\ radicals. The second harmonic light of a dye(Coumarin 47) laser excited by XeF (351nm) excimer laser is used as an LIF excitation light; CF radicals: 232.6nm[X2\Pai\(0)(B A2\Sigma\(0)] ; CF\sub 2\ radicals:234.2nm[X1A1(0,0,0) (BA1B1(0,11,0)[4]. We evaluated dependence of LIF intensity for CF and CF\s ub 2\ radicals on ICP power(200-1500W), on duty ratio(10-100%; 10% step) in a modulation pulse at 500W of ICP power and on pressure(2-13Pa) at several gas flow rates(10-60sccm) of CF\sub 4\ and C\sub 4\F\sub 8\ at 500W of ICP power. Differences in a dissociation characteristics between CF\sub 4\ and C\sub 4\F\sub 8\ and a possibility of independent generation control for CF\sub x\ radicals in the fluorocarbon-gas pulse plasma are discussed. [References] [1] S.Samukawa et al.: J. Vac. Sci. Technol., 12 (1994) p.3300. [2] T.H.Ahn et al.: Proc. Symp. Dry Process(The Institute of Electrical Engineers of Japan, Tokyo,1994) p.57. [3] T.Okumura et al.: Rev. Sci. Instrum., 66(11) (1995) p.5262. [4] J.P.Booth et al.: J. Appl. Phys. , 66(11) (1989) p.5251.
Time Period TuA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS1996 Schedule