ALD/ALE 2025 Session AF-MoP: ALD Fundamentals Poster Session
Session Abstract Book
(624 KB, Mar 13, 2025)
Time Period MoP Sessions
| Topic AF Sessions
| Time Periods
| Topics
| ALD/ALE 2025 Schedule
AF-MoP-1 Atomic Layer Deposition of P-type Oxide Semiconductor Thin Films Using a Novel Precursor for Transistor Applications
Sol-Hee Jo, Jung-Hoon Lee, Jimin Seo, Bo Keun Park (Korea Research Institute of Chemical Technology (KRICT)) P-type oxide semiconductors are challenging to fabricate with high performance due to their low carrier mobility and concentration, unlike n-type oxide semiconductors. Despite these limitations, the development of p-type oxide semiconductors with reliable electrical performance is essential in the fields of Complementary Metal-Oxide-Semiconductor (CMOS) circuits, high-resolution displays, and monolithic three-dimensional (3D) integration technologies. We successfully deposited tin monoxide (SnO) by atomic layer deposition (ALD) using a novel precursor, and H2O as a reactant. The SnO films exhibited an optical bandgap of ~ 2.5 eV and a refractive index of 2.4 or higher, which are typical optical properties of SnO. We confirmed that there were almost no impurities through X-ray photoelectron spectroscopy (XPS) measurement and the O/Sn ratio was ~ 1.0. Consequently, our experimental results showed that the novel Sn precursor is suitable for the ALD process. In addition, a p-type oxide semiconductor was applied as the channel layer in a thin-film transistor (TFT), resulting in the fabrication of a p-type oxide semiconductor TFT. These experimental results indicate that stable p-type devices can be fabricated and applied to future applications. |
AF-MoP-2 Silicon Nitride Ald Process Using Diiodosilane and Hydrazine for Low Temperature Deposition
Hayato Murata, Takuya Yoshikawa, Yoshifumi Wada, Hideharu Shimizu (Taiyo Nippon Sanso Corporation) High quality ALD silicon nitride (SiN) is required for advanced device structure complexity. Especially, there is the demand for SiN films that are resistant to wet HF at deposition temperature below 500°C. Therefore, researchers around the world are studied silicon precursors and nitriding agents recently. For example, nitriding agent as high purity hydrazine (N2H4) is a promising nitrogen source for low temperature ALD nitride process due to its high reactivity [1-2]. Although we demonstrated SiN ALD using Si2Cl6 (hexachlorodisilane, HCDS) and N2H4 at 450°C, wet etching rate (WER) in dilute hydrofluoric acid (100:1 HF) was 150 Å/min. In this study, employing SiH2I2 (diiodosilane, DIS) instead of HCDS, we succeeded forming lower-WER SiN film at 450°C. SiN ALD process was experimentally evaluated by delivering DCS/N2H4 or DCS/NH3 to a hot-wall tubular reactor. SiN ALD using DIS/N2H4 or DIS/NH3 were formed at 450-600°C. In these results, SiN ALD using DIS/N2H4 were demonstrated even at 450°C although SiN ALD using DIS/NH3 were unable to form thin films below 550°C.GPCs (growth per cycle) in DIS/N2H4 ALD were found to be 0.11-0.42 Å/cycle while those in DIS/NH3 ALD were 0.26 Å/cycle at 600°C. These results indicate that N2H4, as higher reactive nitriding source than NH3, has an effect on formation of SiN film at lower temperature. In addition, WER in 100:1 HF of DIS/N2H4 film at 450-550°C was 92-12 Å/min. The WER of DIS/N2H4 film was lower than that of HCDS/N2H4 film. These indicates that the iodine atoms included in the DIS adsorbed on the substrate are readily removed during the nitriding process, since Si-I bond dissociation energy (Ed) is lower than that of Si-Cl (Ed of Si-I: 274 kJ/mol, Ed of Si-Cl: 392 kJ/mol). Thought phenomenon of N2H4 removing halogen through stronger nitridation than NH3 is overserved [3], it is expected that the chlorine atoms in the absorbed HCDS remains as impurities in the SiN film. Therefore, the SiN film quality of DIS/N2H4 was superior to that of HCDS/N2H4. Thus, we concluded that DIS/N2H4 is very promising precursor and nitriding agent for ALD with high reactivity at low temperature and that DIS/N2H4 is practical option for ALD process development to be satisfied with both throughput and SiN film quality. [1] D. Alvarez et al., PRiME2020, G02-1668 (2020). [2] H. Murata et al., ALD/ALE2023, AF-MoP-6 (2023). [3] H. Murata et al., TAIYO NIPPON SANSO Technical Report, No.39 (2020). |
AF-MoP-3 Characterization of Novel Precursors for Improved ALD Performance in HfO2 Films
Dahyun Lee, Hohoon Kim, Sejin Jang, Seonah Kim, Dong-geun Lee, Khang Ngo, Randall Higuchi (Merck KGaA, Darmstadt) In atomic layer deposition (ALD), the selection of precursors is crucial, as they significantly influence film quality and the formation of thin films. It has been reported that the electrical characteristics of HfO2 films improve when deposited at higher temperatures, creating a strong demand for Hf precursors that exhibit high thermal stability to facilitate high-temperature ALD of HfO2. Currently, widely used precursors such as CpZr(NMe2)3 and CpHf(NMe2)3 (where Me = methyl and Cp = cyclopentadienyl) have limitations that have become evident as equipment and processes have evolved. Notably, CpHf(NMe2)3 exhibits a clear sign of thermal decomposition at 350°C. To address these challenges, we have designed a new series of precursors, termed the Helia series, which incorporates a Cp-based ligand. Experimental results demonstrate that the Helia series precursors possess superior thermal stability and reactivity, offering enhanced step coverage compared to conventional CpHf(NMe2)3 precursors, along with a wider ALD window. Specifically, the Helia series precursors have a wider ALD window than CpHf(NMe2)3, and unlike CpHf(NMe2)3, Helia-02 maintains an ALD window above 350°C. These findings indicate that the Helia series precursors not only outperform traditional materials but also hold great potential for advancing high-k dielectric applications. This poster discusses the development and performance evaluation of the Helia series precursors. |
AF-MoP-4 Effect of Impurities in Trimethylaluminum on Conformality of Al2O3 Thin Film on Patterned Substrate Grown by ALD
Shuya Ikemura, Kohei Iwanaga (TOSOH Corporation) Aluminum oxide (Al2O3) is an important dielectric material for various applications including surface passivation, dynamic random-access memory (DRAM) and gate dielectric in complementary metal-oxide-semiconductors (CMOS) 1-3. The trimethylaluminum (TMA) is one of the most studied precursor for producing Al2O3 films. Impurities in TMA with semiconductor grade seem to have been managed by mainly trace metal analysis. We focused on the impurities which are not precisely detected by the trace metal analysis and found that controlling their concentration to a certain level surprisingly affects the conformality of deposited Al2O3 films. Two types of TMA precursors, [TMA-1] and [TMA-2], were prepared with different concentration of impurities. TMA-1 contains the lower concentration of the impurities than TMA-2. Al2O3 thin films were deposited using each TMA precursor on line-and-space (L/S) patterned SiO2 substrates with the aspect ratio of 20 using atomic layer deposition (ALD) apparatus. TMA was delivered by a self-evaporation method to an ALD reactor chamber with the assistance of Ar carrier gas. O3 gas was also introduced as the oxygen source. In addition, diluent Ar gas was added. The atomic composition of the films was analyzed by means of X-ray photoelectron spectroscopy (XPS) after Ar+ sputtering. Transmission electron microscope (TEM) was used to characterize film conformality. Cross-sectional TEM images at the L/S pattern area demonstrated excellent step coverage of 96.5% for the film deposited utilizing TMA-1 but smaller step coverage of 91.7% for that deposited utilizing TMA-2 although the atomic composition of two samples were estimated to be no difference from the XPS measurements of the films deposited on planar substrates. We have achieved to improve the Al2O3 film conformality by decreasing the impurities in TMA. References (1) B. G. Lee, S. Li, G. von Gastrowb, M. Y.-Koski, H. Savinb, V. Malinen, J. Skarp, S. Choi, and H. M. Branz, Thin Solid Films, 550, 541 (2014). (2) D. Ha, D. Shin, G.-H. Koh, J. Lee, S. Lee, and Y.-S. Ahn, IEEE Trans. Electron Devices, 47,1499 (2000). (3) E. P. Gusev, M. Copel, E. Cartier, I. J. R. Baumvol, C. Krug, M. A. Gribelyuk, Appl. Phys. Lett., 76, 176 (2000). |
AF-MoP-5 Thermolysis of Silicon Precursors for High-Temperature Atomic Layer Deposition Processes
Tanzia Chowdhury, Okhyeon Kim, Hye-Lee Kim (Sejong University); Jung Woo Park (Hansol Chemical Co., Ltd.); Won-Jun Lee (Sejong University) The success of the atomic layer deposition (ALD) process is highly dependent on precursor selection, as only certain precursors are suitable for a given ALD process. While low process temperature is critical for temperature-sensitive devices, high-temperature ALD processes are often preferred for memory devices, such as 3D NAND flash. Elevated ALD process temperatures can improve thin film properties in such devices, including leakage current and wet etch rate [1]. High-temperature processes are typically performed in hot-wall reactors, where precursors are exposed to high temperatures for extended periods. Therefore, the thermal stability of the precursor is akey factor in enabling such processes. In this work, we investigate the thermolysis reactions of various silicon precursors using density functional theory (DFT) calculations for ALD of silicon oxide (SiO2) and nitride.DFTserves as an effective tool for screening precursors. The selected silicon precursors include aminosilanes, chlorosilanes with Si–Si bonds, and heteroleptic compounds. Silicon tetrachloride (SiCl4) was also studied as a reference due to it being the most basic silicon precursor that had an activation energy of 4.92 eV for thermolysis. The thermal stability of these precursors, predicted by DFT, was validated by the maximum ALD temperature determined experimentally. Si(NMe2)3H was the most stable among the aminosilane precursors studied, with an activation energy of 2.49 eV. DFT also predicted that CH3SiCl3had sufficient thermal stability with an activation energy of 3.40 eV. Experimental results showed that the maximum temperatures for SiO2 ALD were 750 °C for CH3SiCl3 and 600 °C for Si(NMe2)3H, which were consistent with their respective thermolysis predictions from DFT. This work demonstrates that DFT can effectively predict the thermal stability of ALD precursors, which will accelerate the selection of suitable precursors, especially athigh process temperatures. [1] Lee et al., Electrochem. Solid-State Lett. 11 (7) (2008), G23-G26. |
AF-MoP-6 On an Initial Incubation Process of Thermal ALD Pt on ALD Al2O3 Measured by Temperature Stabilized In-line QCM
Masafumi Kumano (Micro System Integration Center, Tohoku University); Makoto Shimizu (Graduate School of Engineering, Department of Mechanical Systems Engineering, Tohoku University); Takuma Yamamoto (Graduate School of Engineering, Department of Mechanical Engineering, Tohoku University); Shuji Tanaka (Graduate School of Engineering, Department of Robotics) The initial process of a thermal ALD of Pt, with precursor MeCpPtMe3 (abbr. as CpPt) and reactant O2, on an ALD Al2O3 surface was monitored by an in-line QCM. A crystal with Tturnover of 285ºC or 240 ºC was used, and temperature profile around the crystal was optimized for use in a wide temperature range of 200-285ºC. A Quartz glass tube reactor of 300mm (L) × 40mm (D) was used. Reactant gases O2 and H2O were supplied by a measuring bottle of 10cm3 capacity, which limits the number of injected molecules to 2×1013. Fig. 1 shows QCM profiles derived from 40 cycles of CpPt /O2 at 265ºC, employing the crystal with Tturnoverof 285ºC (Inficon 1060). Prior to this, AlOH surface is prepared by 40 cycles of TMA/H2O. At the 1st CpPt injection, a rapid frequency increase, a = 1.83Hz, appears as found in Fig. 2. Utilizing the step frequency change in TMA/H2O cycle, k = 3.8Hz, and an atomic mass of -Al-OH unit on the surface1), q = 44, a corresponding atomic mass reduction ∆m (CpPt n = 1) is estimated as (a/k)q = 21. Before the 1st CpPt injection, ideally no atomic Pt bond exists on the initial surface of the Al2O3 layer. Thus, a suggests the removal of a surface bound OH by CpPt, resulting in the retention of the same number of vacancies as the original AlOH sites. A frequency decrease, b = 0.48Hz, emerges subsequent to the peak of a, which is possibly related to a refill process of the vacancy with OH molecules and/or contaminated O2 from the upper stream region of the reactor. At the 1st O2 injection, a quick frequency drop, c = 1.42Hz, is observed. The atomic mass increase ∆m (O2 n = 1) is (c/k)q = 16.4, which suggests the adsorption of O and OH. Subsequent CpPt injection induced both PtOx nucleation and OH removal on the AlOH surface. The net frequency difference during the first cycle, d (n = 1), is 0.06Hz. Refer to frequency decrease in the stabilized 40th cycle of CpPt (= 15.8Hz/cycle), the mass gain in the 1st cycle, i.e. Pt nucleation, is estimated as 0.38% of full coverage Pt surface. In the 2nd CpPt cycle, d (n = 2) is 0.43Hz, and the mass gain increases by 0.43/15.8 = 2.72% . As the cycle repeats, a decreases and becomes almost zero at the 12th CpPt injection, indicating that no AlOH unit remains on the surface. The accumulated value of d from the 1st to 12th cycles is 14.62Hz, close to 15.8Hz of the frequency gain in the 40th CpPt cycle. In conclusion, the cycle step where no AlOH remains on the surface and the frequency increase a becomes zero can be defined as the end point of island growth. 1) V. Vandalon and W. M. M. Erwin Kessels, Langmuir, 2019, 35, 10374-10382 View Supplemental Document (pdf) |
AF-MoP-7 Oxide Film ALD Using Oh Radicals Generated by Mixing Pure Ozone Gas with Hydrogen-Included Molecular Gas Over 200OC
Naoto Kameda (MEIDENSHA Corp.); Kenichi Uehara, Shigeo Yasuhara (Japan Advanced Chemicals Corp.); Soichiro Motoda, Tetsuya Nishiguchi (MEIDEN NANOPROCESS INNOVATIIONS Inc.) For the deposition of oxide films using ALD, various oxidizing gases such as water, oxygen plasma, and ozone are used. These oxidizing gases are selected according to the required film qualities, which are coverage of trenches with high aspect ratios, electrical properties, and high productivity by batch processing. We have reported the quality of oxide films such as Al2O3 by ALD using high-concentration pure ozone gas (≥80 vol%) as the oxidizing source [1].Pure ozone gas has a longer half-life in the gas phase than conventional ozone gas (<10 vol%), so we have confirmed that Al2O3 can be formed in trenches with high aspect ratio( > 1500) [2]. Furthermore, pure ozone can produce OH radicals, which have higher oxidation reactivity than ozone, by mixing with ethylene gas (OER method). It has been confirmed that ALD using OER (OER-ALD) has improved film quality such as GPC and insulation of Al2O3 films at 150°C or less compared to ALD using pure ozone and is equivalent to oxygen plasma [1]. However, the range of applicable temperature of OER-ALD is lower than the ALD window temperature range (≥200°C) of many precursor species. In the case of OER-ALD, ozone and ethylene are highly reactive even at low temperatures, so gas mixing is required near the substrate using a shower head[3]. Increasing the substrate temperature requires more precise mm range subtle gap control between the shower head and the substrate due to increased reactivity of the gases involved and the short lifetime of radicals in-situ generated. Therefore, it becomes difficult to adjust the dimensions of the OER-ALD equipment configuration. In this presentation, we will discuss the method of the OH radical generation using pure ozone gas and ethylene alternative gas above 200°C. This gas has lower reactivity with ozone than ethylene and must contain hydrogen atom in the molecular structure. Among the several gas species candidates to replace ethylene, water vapor (H2O) is expected to be effective in reducing carbon residues in the film because it does not contain carbon. We will demonstrate the HfO2 film qualities using this OH radical ALD. [1] S. Motoda, T. Hagiwara, N. Kameda, K. Nakamura, and H. Nonaka, ALD/ALE 2023 Poster presented at AF-MoP-10 (2023). [2] N. Kameda, T. Hagiwara, A. Abe, T, Miura, Y. Morikawa, M. Kekura, K. Nakamura, and H. Nonaka, ALD/ALE 2020 Virtual meeting, Poster presented at AF-MoP-69 (2020). [3] T. Miura, M. Kekura, H. Horibe, M. Yamamoto, and H. Umemoto: ECS Transactions 19 (2009) 423. View Supplemental Document (pdf) |
AF-MoP-8 Novel Indium Precursor with Improved Physical Properties and ALD Window for Atomic Layer Deposition of Indium Oxide
Randall Higuchi, Khang Ngo, Lukas Mai, Paul Mehlmann, Daniel Moser, Bhushan Zope, Holger Heil (Merck KGaA, Darmstadt) Indium gallium zinc oxide (IGZO) is an important film for thin-film transistors (TFT) due to its excellent electrical and optical properties. (1) Precursor selection is crucial for ALD of the separate indium, gallium and zinc precursors as they all need to be deposited at the same temperature. Currently trimethylindium (TMI) is commonly used due to having a similar ALD window as other common precursors for gallium and zinc oxide. (2) TMI has limitations as a precursor because it is a solid at room temperature as well as being pyrophoric. A new precursor has been developed for indium oxide deposition that is non-pyrophoric and a liquid at room temperature. Through thermogravimetric analysis (TGA) and differential scanning calorimetry (DSC) we confirmed liquid phase at room temp, vapor pressure (1 Torr at 40C) and decomposition temperature showing good performance for an ALD precursor. Deposition testing was completed using this new In precursor and ozone showing an ALD window for In2O3 from 275C-325C. Linear growth was observed and a dep rate of 0.7A/cycle was found in the ALD window. The findings show a promising Indium precursor for IGZO deposition. |
AF-MoP-9 Atomistic Modeling Methodologies for Atomic Layer Deposition
Yong-Ju Kang (Synopsys Korea Inc.); Suresh Kondati Natarajan (Synopsys Inc.); Rafshan Ul Atik (Synopsys India Pvt. Ltd.); Jess Wellendorf, Søren Smidstrup (Synopsys Denmark ApS) Atomic layer deposition (ALD) is a thin-film deposition method, which grows one atomic layer sequentially with precise control of thickness and precursor chemistry. It means that understanding atomistic nature of precursors and their surface reactions became more important than other conventional chemical vapor deposition method. Atomistic simulation methodologies are powerful tools for analyzing surface reactions and elucidating complex dynamical processes of ALD at atomic scale, given process conditions. In this work, we will present various atomistic modeling approaches for atomic layer deposition (ALD) by using QuantumATK software [1,2] developed by Synopsys. In thermochemical analysis, Gibbs free energy of surface reactions calculated using the density functional theory (DFT) and the energy of reactants and products compared according to process temperature and partial pressure to find favorable surface reactions. Using the kinetic approach, deposition rate was obtained by calculating activation barrier of surface reaction and solving equation of time dependent surface coverage. In dynamical approach of reactions, molecular dynamic simulations impacting precursor molecule onto the film surface performed to understand dynamical process of surface reaction. In this approach, with generation of explicit atomic structure of the surface and reaction products, reaction properties such as probabilities of events and sticking coefficient were extracted by using classical or machine learned force fields [3,4]. [1] QuantumATK W-2024.09, Synopsys. (https://www.synopsys.com/quantumatk ) [2] S. Smidstrup et al., J. Phys.: Conden. Matter 32, 015901 (2020). [3] J. Schneider et al., ALD/ALE 2022, Ghent, Belgium.[4] S. K. Natarajan et al., ALD/ALE 2025, submitted, Jeju, South Korea. |
AF-MoP-10 Unraveling the Influence of Substrate Surface and Temperature on Microstructural Evolution of Crystalline MoS2 in Atomic Layer Deposition
Seung Ho Ryu, Seong Keun Kim (Korea University) In this study, we examine the atomic layer deposition (ALD) growth behavior of crystalline MoS2 films on various substrates, including SiO2, mica, and Al2O3, at deposition temperatures of ≥ 650 °C. The results show that the substrate surface energy and temperature significantly influences the MoS2 growth dynamics, affecting the layer nucleation, surface morphology, and growth rate. High temperatures generally favor a stepwise growth pattern with a step size of one monolayer; however, the substrate surface energy distinctly affects the grain size and crystallinity. MoS2 growth on mica, which has the lowest surface energy, results in larger, highly crystalline triangular grains and enables multilayer growth, whereas Al2O3, which has the highest surface energy, produces smaller, less crystalline grains. Temperature elevation further enhances the lateral grain expansion and crystallinity, especially on Al2O3 substrates with higher surface energies. By tailoring the substrate surface and deposition temperature, the key pathways for optimizing MoS2 ALD growth are highlighted with the aim of enhancing the film uniformity and quality for nanoelectronic applications. This study provides critical insights into the ALD parameters that govern the growth of crystalline MoS2 with implications for advancing scalable, high-performance 2D materials. |
AF-MoP-11 Novel Alkoxy-Bridged Silicon Precursor for Plasma Enhanced Chemical Vapor Deposition of Low-k SiCOH Spacer Thin Film
Jongryul Park, Sooyong Jung, Seokhee Shin, Yongjoo Park (SK Trichem) Low dielectric constant(low-k) materials are essential for various applications due to their unique material properties and play a broad role in semiconductor devices. Dynamic random access memory(DRAM) has continued to shrink to gain higher data storage capabilities. At the same time, its manufacturing will face many challenges at advanced technology node, such as the decreased retention time and the insufficient sensing margin. Reducingthe parasitic capacitance between the bit line(BL) and the storage contact is one method to block the leakage of the cell is the solution to make up the decreasing of retention time and solve the insufficient sensing margin.[1] To prevent the increase of parasitic capacitance as the cell sizes decrease, a low-k material be used between the BL and the storage contact. In addition, it should have high density and good resistance properties to etching for subsequent processes. Previous studies have investigated the properties of the silicon oxycarbonitride(SiOCN, k=5.2). However, they are not suitable for low-k materials due to their relatively high dielectric constant.[2] In this study, a new alkoxy-bridged silicone precursor with low viscosity and good volatility was developed to form a SiCOH-based low-k spacer materials. The SiCOH thin film was formed through a PECVD process using O2 plasma at 400℃ on the new silicon precursor. The characteristics of the formed thin film were confirmed through the evaluation of k-value, reflective index(R.I.), density and wet etch rate. It has the characteristics of a low-k spacer material suitable for application to next-generation semiconductor devices. References [1] 2020 IEEE 15th International Conference on Solid-State & Integrated Circuit Technology (ICSICT) - “ Simulation Studies about the NON Spacer Effects on the DRAM Access Transistor Performance ” [2] Electronics Letters. 2020, 56, 514-516. |
AF-MoP-12 High Temperature Atomic Layer Deposition of Hafnium Oxide Film using Novel Liquid Hf Precursor Deposition
Kim Daeyeong (SK Trichem); Oh Jieun, Lee Seo-Hyun, Kim Woo Hee Kim (Hanyang University, Korea); Park Yongjoo (SK Trichem) Hafnium oxide (HfO2) is a high-k dielectric material that has contributed to the scaling of memory and logic devices, and is also explored for storage class memories. As memory devices become more complex, conformal deposition of HfO2 films on nano-sized 3D structures is increasingly important. Deposition temperature plays a key role in achieving high-quality films, as low-temperature processes may hinder property preservation due to thermal budget constraints in 3D integration. Although low-temperature deposition is desirable, high-temperature processing is crucial for optimizing the HfO2 film’s high-k properties. Therefore, a process capable of depositing HfO2 films at elevated temperatures is necessary.[1] In ALD of HfO2, various precursors have been explored, with thermal stability being crucial to achieving high-quality films. Metal halides like HfCl4 offer excellent stability but produce corrosive by-products and introduce chlorine impurities. Alkylamides like Hf(NEtMe)4 provide high growth rates but suffer from limited thermal stability and impurity incorporation. In particular, (CpR)Hf(NMe)3 (R = H, Me) provides an ALD window of 250–350 °C for HfO2 growth, offering good crystallinity and stability at this temperature range. However, the relatively low ALD window temperature makes it unsuitable for high-temperature processes. [2] Herein, we developed a novel liquid hafnium precursor with low viscosity, good volatility, and thermal stability. Using this precursor, we were able to achieve a high-temperature ALD window for HfO2, ranging from 300 to 400 °C. The growth per cycle (GPC) during this process was 0.7 Å/cycle. X-ray reflectivity (XRR) was used to measure film density, and grazing incidence X-ray diffraction (GIXRD) was employed to investigate the crystalline structure of the films. Electrical characterization of the films was performed through leakage current density-electric field (J-E), interface trap density (Dit), and capacitance-voltage (C-V) measurements. AcknowledgmentsThe authors would like to thank HanyangUniversity(ERICA) for their support and permission to publish this collaborative work. References [1] Journal of Crystal Growth, 2010, 312, 245–249. [2] Ceramics International, 2021, 47, 29030-29035. |
AF-MoP-13 Analysis of Plasma Characteristics and Substrate Damage Using a Dual-Frequency PE-ALD Process with 13.56 MHz and 100 MHz
Da-Eun Bae, Hyung Min Kim, Jae Yeon Han, Jae Ho Choi, Jae Hack Jeong (CN1 Co., Ltd.) Compared to other deposition methods, ALD processes are widely applied in mass production due to their high step coverage, atomic-level thickness control, and uniform film deposition. However, thermal ALD requires high temperature (>400°C) to achieve high-quality properties when depositing nitride films such as SiNx, AlN, TiN, and TaN. This has led to the application of plasma-enhanced ALD (PE-ALD). It offers enhanced reactivity and higher deposition rates, even at low temperatures. However, it also presents several technical challenges, including plasma-induced substrate damage and limited step coverage in increasingly thinner and deeper structures. To address these issues, the use of very high frequency (VHF) plasma is required. We have studied plasma characteristics and substrate damage during the deposition of silicon nitride (SiNx) films using a PE-ALD process at low temperatures (≤200°C) with very high-frequency plasma. In this process, the use of 100 MHz alone resulted in insufficient discharge and poor uniformity due to standing wave effects, and thus a dual-frequency approach was applied by combining 13.56 MHz with 100 MHz to improve plasma stability. Plasma characteristics, such as electron temperature (Te), ion flux, and ion density, were characterized using a Langmuir probe. Plasma-induced substrate damage was examined using TEM, and film thickness and refractive index (RI) were measured using ellipsometry. Acknowledgments This work was supported by the Core Technology Development on PIM AI Semiconductor (R&D) (Equipment Development for SiN Deposition with Plasma Source for MTJ Capping Layer, RS-2022-00143986) funded By the Ministry of Trade, Industry & Energy (MOTIE, Korea). This work was supported by the Korea Institute of Energy Technology Evaluation and Planning(KETEP) and the Ministry of Trade, Industry & Energy(MOTIE) of the Republic of Korea (All-inorganic thermally deposited perovskite solar cells and advanced materials, RS-2023-00236664). References [1] ACS Appl Mater Interfaces, 10(10), 9155-9163 (2018). [2] Applied Surface Science, 387, 109-117 (2016). [3] SCIENTIFIC REPORTS, (2018) 8:7516 View Supplemental Document (pdf) |
AF-MoP-14 High-Temperature, High-Growth Rate Atomic Layer Deposition of Silicon Oxide Thin Films Using a Novel Precursor
Changgyu Kim, Mi-Soo Kim, Okhyeon Kim, Jihwan Lee (Sejong University); Seunggyun Hong, Byung-Kwan Kim, Jin Sik Kim, Wonyong Koh (UP Chemical Co., Ltd.); Hye-Lee Kim, Won-Jun Lee (Sejong University) As the number of layers in three-dimensional (3D) vertical NAND devices continues to increase, so does the aspect ratio of the channel holes. Atomic layer deposition (ALD) is essential to form dielectric thin films with excellent step coverage in these high-aspect-ratio structures. Since higher process temperature improves the physical and electrical properties of ALD dielectric films, there is a growing demand for high-temperature thermal ALD processes in 3D NAND fabrication. In a previous study, we demonstrated the feasibility of a novel silicon precursor, HTS-1, for high-temperature ALD applications [1]. We achieved high growth rates exceeding 2.5 Å/cycle at 600–800°C, more than two times higher than SiH(NMe2)3. We also observed excellent step coverage at 750°C. However, the maximum temperature for SiO2 ALD using HTS-1 was not determined, and the detailed investigation of film properties over different process temperatures was lacking. In this work, we systematically investigated the SiO2 film deposited by alternating exposure to HTS-1 and ozone at different temperatures. First, we determined the saturation doses of precursor and ozone. Then, we analyzed the growth rate as a function of deposition temperature to determine the maximum ALD temperature, which was confirmed by evaluating the step coverage at different deposition temperatures. Finally, we analyzed the physical and electrical properties of the deposited film at different temperatures, including composition, impurities, shrinkage, and leakage current. The properties of SiO2 films prepared with HTS-1 were also compared with those prepared with SiH(NMe2)3, providing insight into the advantages of the novel precursor for high-temperature ALD. References[1] W. Koh et al., ALD 2023, AA-TuP-7, Bellevue, Washington, 2023. |
AF-MoP-15 Development of New Group 3 Metal and Lanthanide Precursors with Volatility and Thermal Stability for ALD
Yongmin Go, Bo Keun Park (141, Gajeong-ro, Yuseong-gu) Group 3 metals and lanthanide metal oxides are known for their high permittivity and wide band gaps, making them ideal materials for MOSFETs and DRAMs. Various thin film deposition techniques are employed in the production of metal oxide films, among which atomic layer deposition (ALD) stands out due to its ability to produce high-quality films, self-limiting growth, and precise control over film thickness. To carry out the ALD process, the use of ALD precursors is essential. These precursors must possess volatility, thermal stability, and high reactivity with the reactants, making the development of new ALD precursors with these characteristics of great importance. However, the size of Group 3 metals varies depending on the specific metal, and an appropriate ligand must be used. In this work, we have developed a new Group 3 metal novel ALD precursor and analyzed its suitability for use as an ALD precursor. The characteristics of the newly synthesized Group 3 metal precursors were confirmed through various analysis methods, such as NMR, EA, TGA, and vapor pressure. Among them, the new lanthanum precursor was confirmed to form a thin film through the ALD deposition process. Additionally, we proposed an ALD process for La2O3 using the new lanthanum precursor and compared it to the commonly used La(iPrCp)3 precursor. By processing at high temperatures with the new lanthanum precursor, we successfully obtained La2O3 thin films with excellent crystallinity, higher density, and improved leakage current characteristics. These results suggest that the new precursors we developed are promising candidates for the formation of metal oxide thin films through ALD and deposition processes. |
AF-MoP-16 Exploring Ultrathin SnO2 Films via Atomic Layer Deposition for Facilitating the Formation of the Rutile TiO2 Phase
YooHyeon Jung, InHong Hwang (Inha University) Tin dioxide (SnO2) is a chemically stable and conductive oxide material that is currently being investigated for potential application in DRAM electrodes, driven by the increasing demand for semiconductor scaling. The practical limitations of increasing capacitance by continuously reducing the thickness of the dielectric film have led to a growing need for new dielectric materials. Among these materials, rutile-TiO2 (k > 100) is particularly noteworthy. However, synthesizing a high-k rutile phase requires high-temperature processes exceeding 700 ˚C, which are incompatible with the CMOS process. To overcome this challenge, the rutile structure of SnO2 can be employed as a seed layer, enabling the low-temperature synthesis of rutile TiO2 via local epitaxy. In this study, we developed a method for synthesizing rutile SnO2 by atomic layer deposition (ALD) using H2O2 as co-reactant, which facilitates more effective ligand removal from Sn precursor by enhanced byproduct exchange. The resulting films exhibit superior physical, chemical, and electrical properties, ensuring their suitability for advanced dielectric integration. This approach eliminated the need for ozone, which has the potential to oxidize the DRAM bottom electrodes. We believe that the SnO2 seeds layer can be utilized to fabricate the next generation of dielectric films, rutile TiO2, regardless of bottom electrode type.Acknowledgment: This work was supported by Korea Institute for Advancement of Technology(KIAT) grant funded by the Korea Government(MOTIE) (RS-2024-00409639, HRD Program for Industrial Innovation) |
AF-MoP-17 Novel ALD Indium Precursor for In2O3 Thin Film Fabrication
Dong Hyeon Bang, Bo Keun Park, Yongmin Go, Sunyoung Shin, Ji Yeon Ryu (Korea Research Institute of Chemical Technology (KRICT)) Indium oxide thin films are utilized in various applications, including catalysis, displays, gas sensors, and transistors. To fabricate these metal oxide thin films, vapor-phase deposition techniques such as Metal-Organic Chemical Vapor Deposition (MOCVD), Physical Vapor Deposition (PVD), and Atomic Layer Deposition (ALD) are commonly employed. Among these techniques, ALD offers the advantage of precise control over film thickness by adjusting the number of deposition cycles. It also enables the formation of high-quality thin films with low contamination compared to other deposition methods. To leverage these advantages of ALD, precursors with high volatility and thermal stability are required. In this study, we synthesized novel heteroleptic indium precursors by introducing thermally stable enaminolate ligands to InMe₂Cl. The molecular structures of compounds 1–3 were confirmed using X-ray crystallography (XRD), which revealed that all three compounds possess dimeric structures and adopt distorted trigonal bipyramidal geometries. Thermogravimetric analysis (TGA) indicated that compounds 1–3 exhibited single-step weight loss behavior, with non-volatile residues at 500 °C measured as 24% for 1, 30% for 2, and 20% for 3. Additionally, it was observed that the sublimation temperatures of compounds 1-3 ranged from 30 to 35 °C at a pressure of 0.65Torr. The thermal properties and vapor pressures of compounds 1-3 indicate their potential suitability as precursors for atomic layer deposition process. |
AF-MoP-18 Optimization of ALD Processes and High-Quality Thin Film Formation Using the Liquid Aluminum Precursor 1,5-Dimethyl-1-Alumina 5-Azacyclooctane
Sanghun Lee, Yunsik Park, Sejin Jang, JoongJin Park, Sangyong Jeon, JunHee Cho (DNF) This study introduces an atomic layer deposition (ALD) process utilizing the liquid aluminum precursor 1,5-Dimethyl-1-Alumina 5-Azacyclooctane (hereafter referred to as DMACO), designed to meet the demands of advanced integration and miniaturization in semiconductor devices. To achieve higher DRAM capacitance, precise control over the amount of Al₂O₃ in the dielectric layer is crucial; however, the TMA precursor's high deposition rate limits this control. A new ALD precursor with a significantly lower deposition rate, while maintaining film quality and cost efficiency, is needed. DMACO exhibits a stable ALD window in the temperature range of 350–550°C, with superior thermal stability and lower impurity levels compared to TMA.DSC analysis revealed that TMA thermally decomposes at approximately 237°C, while DMACO decomposes at around 405°C using the same method.The deposition rate of TMA was approximately 0.87–2.27 Å/cycle at 350–550°C, whereas DMACO showed a lower deposition rate of about 0.77–1.08 Å/cycle under the same conditions. Despite this lower deposition rate, Al₂O₃ films deposited on Si substrates via the ALD process using DMACO exhibited high uniformity and excellent step coverage, showing performance comparable to high-k dielectric materials deposited with TMA. Furthermore, XPS and TEM analyses confirmed the formation of high-quality thin films with minimal carbon (C) and other impurities. This presentation will delve into the thermal properties of DMACO (TGA/DSC), deposition rate trends, optimization of ALD process conditions, and the physical and chemical characteristics of the deposited films. |
AF-MoP-19 Low-Resistivity Molybdenum Thin Films Deposited by ALD Using Molybdenum(0) Organometallic Compounds and Iodine-Containing Reactant
Ji Hyeon Youn, Yo Han Jo, Won Mook Chae, Sang Yong Jeon, Joong Jin Park, Se Jin Jang (DNF Co., Ltd.) Selecting suitable candidates for interconnects and metal contacts has become increasingly challenging due to the exponential rise in metal resistivity at reduced pitches. Molybdenum (Mo) has emerged as a promising alternative to traditional metals like copper and tungsten, thanks to its low electrical resistivity and long electron mean free path. However, the deposition of Mo metal films typically requires high temperatures (600℃) and inorganic solid precursors, which can restrict its applicability. To address this limitation, a low-temperature deposition process is needed, which can be achieved by introducing an iodine-containing reactant. References |
AF-MoP-20 Valence-state Controlled Growth of P-type Tin(II) Monoxide Films by Atomic Layer Deposition using a Novel Sn Precursor
Jeong Eun Shin (Seoul National University of Science and Technology); Heesun Kim, Bo Keun Park (Korea Research Institute of Chemical Technology (KRICT)) Tin monoxide (SnO), in its divalent oxidation state, exhibits excellent p-type conductivity due to the valence band maximum structure formed by the hybridization of Sn 5s and O 2p orbitals, making it a promising candidate for p-channel thin-film transistors (TFTs) [1]. However, physical vapor deposition methods such as evaporation and sputtering often struggle to achieve single-phase SnO films with precisely controlled Sn/O composition while minimizing the formation of undesired Sn or SnO₂ phases [2]. To address this issue, atomic layer deposition (ALD) has been explored to produce high-quality crystalline SnO films at low temperatures. Previous ALD SnO processes utilizing various divalent Sn precursors have been limited by poor crystallization within a narrow temperature rangedue to low precursor reactivity and thermal stability. In this work, we developed an ALD process for SnO thin films using a novel divalent Sn amide precursor with a low sublimation temperature and high thermal stability. Thermogravimetric analysis revealed a single-step sublimation curve, confirming the excellent volatility and stability. The self-limiting ALD characteristics were verified by varying the Sn precursor and H₂O pulse times. The deposited SnO films were characterized using SEM, XRD, XPS, and UV-Vis, confirming the formation of pure andcrystalline SnO film. ALD SnO based TFT demonstrated excellent switching performance, exhibiting a field-effect mobility of 3.68 cm2/V·s, a sub-threshold swing of 4.94 V/dec, and an on/off current ratio of 2.74×10³. AcknowledgementsThis work was supported by National research Foundation of Korea(NRF) funded by Ministry of Science and ICT (MIST) and Ministry of Education (MOE) (NRF-2023R1A2C1006660 and 2021R1A6A1A03039981) References [1] Togo, A, et al. "First-principles calculations of native defects in tin monoxide." Physical Review B—Condensed Matter and Materials Physics74.19 (2006): 195128. [2] Yabuta, Hisato, et al. "Sputtering formation of p-type SnO thin-film transistors on glass toward oxide complimentary circuits." Applied Physics Letters97.7 (2010). |
AF-MoP-21 Synthesis and Characterization of Ge and Sn ALD Precursors with Aminoketone Ligands
Chang Min Lee, Bo Keun Park, Heesun Kim, Ji Min Seo, Yongmin Go (Korea Research Institute of Chemical Technology (KRICT)); Seung Uk Son (Sungkyunkwan University, Korea); Ji Yeon Ryu, Taek Mo Chung (Korea Research Institute of Chemical Technology (KRICT)) Thin films, with thicknesses ranging from nanometers to micrometers, can be produced through various physical or chemical methods. Atomic Layer Deposition (ALD) is particularly valued for its ability to deposit uniform thin films, where precursor selection plays a critical role in determining process success. For ALD, precursors must exhibit thermal stability, chemical reactivity, and produce volatile by-products. Among group 14 elements, germanium (Ge) and tin (Sn) have garnered attention due to their superior electrical and optical properties. Their oxides, GeO2 and SnO2 , have been extensively studied in thin film applications. In this study, we synthesized novel Ge and Sn precursors suitable for ALD and characterized their properties. GeCl2 -dioxane and Sn(btsa)2 were reacted with ligands dmadma (2-(dimethylamino)-N,N-dimethylacetamide) and dmadmb (1-(dimethylamino)-3,3- dimethylbutan-2-one). The resulting complexes formed stable five-membered rings, which effectively filled vacant coordination sites and prevented oligomerization. The synthesized compounds were thoroughly characterized using NMR, FT-IR, elemental analysis (EA), TGA, and XRC. All compounds exhibited high volatility and thermal stability, indicating their potential as promising precursors for ALD applications. |
AF-MoP-22 M(II) (M = Ni, Cu, Ge, Sn) ALD Precursors Using N-tert-butylformamide Ligand
Mi Jeong Kim, Ji Min Seo, Heesun Kim, Yongmin Go (korea research Institute of Chemical Technology (KRICT)); Seung Uk Son (Sungkyunkwan University, Korea); Ji Yeon Ryu, Taek-Mo Chung, Bo Keun Park (korea research Institute of Chemical Technology (KRICT)) Atomic layer deposition (ALD) has become an essential technology in advanced applications that require uniform and precise thin film deposition. The success of the ALD process depends on the selection of appropriate precursors. Accordingly, we conducted research to develop various M(II) precursors. We synthesized [Ni(tbfa)₂]₂ (1), [Cu(tbfa)₂]₂ (2), Ge(tbfa)₂ (3), and Sn(tbfa)₂ (4) complexes using N-tert-butylformamide (tbfa) ligands, and characterized them by various analytical techniques, including NMR, FT-IR, elemental analysis, and thermogravimetric analysis. In particular, complex 4 showed the highest volatility and stable thermal properties in the TGA results, and thus could be expected to be used as a good ALD precursor. |
AF-MoP-23 Reaction Pathway of Copper Atomic Layer Deposition via Time-of-Flight Mass Spectrometry
Camilla Minzoni, Caroline Hain, Krzysztof Mackosz (Empa, Swiss Federal Laboratories for Materials Science and Technology, Thun, Switzerland); Andreas Werbrouck (Thin Film Coatings and Materials Electrochemistry Lab, University of Missouri-Columbia, Missouri, USA); Carla Frege, Bensaoula Abdel (Tofwerk AG, Thun, Switzerland); Patrik Hoffmann, Ivo Utke (Empa, Swiss Federal Laboratories for Materials Science and Technology, Thun, Switzerland) Time-of-Flight Mass Spectrometry (ToFMS) has emerged as a powerful analytical technique for unraveling the complex reaction mechanisms occuring during Atomic Layer Deposition (ALD), particularly in the challenging field of copper thin film fabrication. Thanks to its unique advantages in terms of high sensitivity, high resolving power, rapid data acquisition, and ability to simultaneously detect species across a wide mass range, ToFMS enables real-time tracking of surface reactions volatile byproducts. In this study, in-situ ToFMS monitoring was employed to investigate the ALD process of copper, using dehydrated Cu(hfac)2 as the Cu source and diethylzinc (DEZ) as the reducing agent. Until now, no experimental proof of the occurring surface reaction mechanism was attempted according to published literature. In situ ToFMS monitoring enabled real-time detection of the expected volatile surface reaction products from both the transmetalation and half-ligand exchange mechanism with varying proportion in the ALD half-cycles of the copper precursor Cu(hfac)2 and the reducing DEZ agent. By identifying the parent peaks of Zn(hfac)₂ and EtZn(hfac), along with their specific fragmentation pathways, ToFMS provided the first experimental validation of both reaction mechanisms under vacuum ALD conditions. Literature References:
|
AF-MoP-24 Bimetal Thin Film Deposition Using Novel Organometallic Dinuclear RuCo Complex
Kazuaru Suzuki, Tomohiro Tsugawa, Subhabrata Das, Yohei Uchiyama, Ryosuke Harada, Hirofumi Nakagawa (Tanaka Precious Metal Technologies Co. Ltd.,) K. Suzuki, T. Tsugawa, S. Das, Y. Uchiyama, R. Harada, and H. Nakagawa, Tanaka Precious Metal Technologies Co. Ltd., 22, Wadai, Tsukuba, Ibaraki 300-4247, Japan Thin films composed of multiple metal elements are attracting attention. In addition to those exhibiting catalytic activity or electro-magnetic properties, in the field of advanced semiconductors, multiple types of metal thin films are being studied for barrier / liner films for Cu wiring [1, 2]. In the production of such film, it is a common method to perform repetition of film formation operations according to the kinds of metal element, but it is complicated to perform film formation under different conditions for each metal. Further, it is difficult to deposit a smooth continuous film while having an extremely thin film thickness. In this study, we report the results of bimetal thin film deposition in a single process using novel organometallic dinuclear RuCo complex as a precursor. Novel RuCo complexes containing several organic ligands were synthesized. Based on the results of TG measurement, the complex with high volatility and thermal stability was selected and used as a raw material for film formation. As the intial evaluation, CVD deposition test was performed on Si and SiO2 substrates. The film formation was controlled at substrate temperatures ranging from 300 to 400 ºC. When the films were formed in nitrogen atmosphere, high-resistance films containing impurities were obtained, but in the hydrogen atmosphere, the low-resistance metal films were obtained. From the results of SEM, it was confirmed that the flat and continuous films with no agglomeration or particles were obtained. In addition, the EDS measurement results showed that Ru and Co elements in the film were uniformly distributed without aggregation. We have developed the novel precursor that can produce a uniform bimetal film containing Ru and Co elements by the deposition process with single precursor. Since an extremely thin and continuous film is required for use in the barrier/liner film of Cu wiring, the development of an ALD process is more necessary in the future. [1] K. Motoyama, O. van der Straten, J. Maniscalco, K. Cheng, S. DeVries, H. Huang, T. Shen, N. Lanzillo, S. Hosadurga, K. Park, T. Bae, H. Seo, T. Wu, T. Spooner, K. Choi, IEEE Int. Interconnect Technol. Conf. (IITC) Proc., 2022, p. 13 [2] B. Wehring, F. Karakus, L. Gerlich, B. Lilienthal-Uhlig, M. Hecker, C. Leyens, J. Appl. Phys., 2024, 135, 215302 |
AF-MoP-25 Atomic Layer Deposition of Composition Engineered Nitrogen-Doped SnOX Films for Enhanced Performance of Thin-Film Transistor
Hyun Hak Lee, Jeong Eun Shin, Na Yeon Lee (Seoul National University of Science and Technology) Tin oxide (SnOₓ, x = 1,2) has been widely used in various applications, including thin-film transistor (TFT) channel layers, solar cells, and transparent electronic devices, due to its excellent electrical and optical properties. By controlling stoichiometry, tin oxide can achieve n-type SnO₂ and p-type SnO semiconductors. However, the formation of unintended intrinsic defects such as oxygen vacancy and tin vacancy can degrade device stability and complicate carrier concentration control. To address this issue, studies on anion doping, such as nitrogen, have been explored. However, Nitrogen-doped oxide semiconductors produced by sputtering processes face challenges in controlling doping concentrations. In contrast, atomic layer deposition (ALD), which enables atomic-scale deposition through self-limiting reactions, allows for precise doping concentration control via a super-cycle approach. In this study, nitrogen (N)-doped SnOx films were grown using thermal ALD, incorporating alternating ALD SnOx and SnNx sub-cycles. By varying the sub-cycle ratio of SnOx:SnNx, the nitrogen concentration in N-doped SnOx film was precisely adjusted. The influences of nitrogen doping concentration on crystallinity, surface morphology, chemical states, and optical and electrical properties were systematically analyzed using XRD, SEM, AFM, XPS, and UV-vis spectroscopy. Finally, the electrical performance of ALD N-doped SnOx TFTs were evaluated depending on the nitrogen doping levels. Acknowledgments This work was supported by a grant from the National Research Foundation of Korea (NRF), funded by the Ministry of Science and ICT (NRF-2023R1A2C1006660) References [1] Park, Bo-Eun, et al. "Phase-controlled synthesis of SnOx thin films by atomic layer deposition and post-treatment." Applied Surface Science 480 (2019): 472-477 |
AF-MoP-26 Atomic Layer Deposition of Molybdenum Using a Dual-Purpose Molybdenum Precursor for Advanced Metallization
David Mandia, Matthew Griffiths, Youness Alvandi, Arya Shafiefarhood (Lam Research Corporation) The resistivity increase with decreasing width of interconnect lines is a major challenge for the continued downscaling of integrated circuits. As middle-of-line (MOL) metal interconnects in advanced logic devices scale down to the sub-2 nm node with an associated reduction in the overall current-carrying cross-sections, the effects of specular electron scattering at grain boundaries1 or impurities like carbon/oxygen become tremendously amplified. As we inflect to a Molybdenum (Mo)-based metallization solutions in our customer integration schemes (and away from Cobalt (Co), Ruthenium (Ru), and tungsten (W)), the previously mentioned challenges remain the same. Our typical integration schemes for S/D or contact metallization are based on recessed features with ALD/CVD Mo gap-fill within (i) inherently selective structures with a barrierless W via bottom or (ii) structures with a conformal diffusion barrier on, for example, a metal silicide/Si. To improve upon previous approaches to gap-fill of structure (i), which were based on an oxygen-containing Mo precursor, we employed an oxygen-free, halide-containing Mo precursor (MoXa(L)b). This allowed for a cleaner, bottom-up (selective) growth profiles with an oxygen-free Mo-W interface. As will be shown in various analyses (e.g., PED), we obtain single-grain Mo in most (>90%) vias of ourLam-internal test vehicle structures due to the lack of grain boundary formation,which tends to form if there are significant Mo precursor and feature sidewall interactions. Due to its oxophilicity (i.e., its high affinity to oxidize to MoOx), Mo is a challenging metal from both a processing and precursor design point of view. Herein we leverage the various deposition and etching properties of a MoXa(L)b precursor and its applications in various metallization schemes. (1) Gall, D. The Search for the Most Conductive Metal for Narrow Interconnect Lines J. Appl. Phys 2020, 127, 50901. Keywords: Metallization, MoXa(L)b, selective deposition, ALD, semiconductor manufacturing |
AF-MoP-27 Demonstration of ALD HfXZr1-XO2 Using Various Oxidant Sources Over Ultra-High Aspect-Ratio Structure for Memory Applications
Dan Le (RASIRC); Jin-Hyun Kim, Thi Thu Huong Chu, Soubhik De, Dushyant Narayan, Minjong Lee (University of Texas at Dallas); Walter Hernandez, Josh Garretson, Adrian Alvarez, Jeffrey Spiegelman (RASIRC); Jiyoung Kim (University of Texas at Dallas); Lorenzo Diaz (RASIRC) As memory devices continue to evolve toward higher density and enhanced performance, particularly in 3D architectures, the need for precise control over material properties at the nanoscale has become critical. HfxZr1-xO2 (HZO) is a promising material for next-generation memory applications, such as ferroelectric random-access memory (FeRAM) and high-k dielectrics for DRAM. Due to its ferroelectric properties and high dielectric constant, HZO plays a key role in improving device performance, lowering power consumption, and enhancing scalability. However, the successful integration of HZO into memory devices requires a precise, conformal deposition technique capable of maintaining uniformity across complex 3D structures. In this work, we focus on demonstrating the deposition of HZO on ultra-high aspect-ratio structures using various oxidant sources, including anhydrous hydrogen peroxide (H2O2) and ozone (O3) at 250°C. We will explore ALD process developments, such as stop valve processing and multiple dosing techniques, to successfully deposit HZO on features with aspect ratios as high as 10,000:1. The ultra-high aspect-ratio substrates used in this study feature advanced membrane technology that enables easier evaluation of HZO conformality across the complex structures without requiring complex sample preparation. In addition to optimizing the deposition process, material characterizations on blanket samples will be conducted to monitor the properties of the HZO films achieved using the developed ALD processes. Techniques such as X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), and other advanced characterization methods will be employed to assess film crystallinity, chemical composition, and other critical material properties. These analyses will ensure that the final HZO films meet the stringent requirements for next-generation memory applications, including high performance, scalability, and reliability for integration into advanced memory devices. This work is supported by RASIRC. The BRUTE® Peroxide is provided by RASIRC Inc., and the ozone generator is provided by TMEIC. The authors would also like to express their gratitude to Chipmetrics for providing the ultra-high aspect-ratio substrates. |
AF-MoP-28 Bottleneck-Effect on Thin-Film Conformality in High Aspect Ratio ALD
Mikko Utriainen, Jussi KInnunen, Jani Karttunen, Feng Gao (Chipmetrics); Anish Philip (Aalto University) Atomic Layer Deposition (ALD) is an important method for conformal film deposition into high aspect ratio structures. It is widely used in advanced 3D semiconductor devices as well as batteries and MEMS devices. However, achieving an uniform film on thenarrow and complex shapes is challenging. Once 3D designs become more complex, or random shapes in porous structures increases, the small and variable opening dimensions will become more prevalent. These features will increase the difficulty of the deposition process development and optimization. The present understanding and research methodology to study the effects of narrow openings and bottlenecks is limited. In this study, we demonstrate a novel experimental method to approach the problem. We used the PillarHall® lateral high-aspect ratio (LHAR) test structure to study the bottleneck effects on ALD thin film formation into the high aspect ratio cavities. The LHAR test structure setup enable to adjust the size of the entry into a cavity and study its effect on the film penetration profile. We performed thermal ALD to deposit Al₂O₃ on three LHAR structures and one vertical high aspect ratio (VHAR) structure. One LHAR sample, with a trench gap height of 500 nm, served as a reference with no bottleneck. In two other LHAR samples, we reduced the gap from 500 nm to 400 nm by adding a 50 nm layer at the trench opening, which created bottlenecks using non-conformal deposition of either Al₂O₃ or TiO₂. The VHAR structure had a bottleneck at the entry by design. The measurements showed that without a bottleneck, the film could penetrate up to 152µm . When a bottleneck was present, the penetration depth decreased to 133–135µm, regardless of whether Al₂O₃ or TiO₂ was used. We employed line-scanning reflectometry to measure these structures, a method that could even differentiate between layers when TiO₂ formed the bottleneck. These results are in line with the diffusion-limited deposition model by Gordon et al. [1] and allowed us to compare the behavior of LHAR and VHAR structures. Based on our findings, carefully designed bottleneck structures could lead to improved models for predicting film growth in complex geometries, and this approach could be extended to cases with multiple bottlenecks within a cavity. References [1] R.G. Gordon, D. Hausmann, E. Kim, and J. Shepard, Chem. Vapor Deposition, 9, 73 (2003). View Supplemental Document (pdf) |
AF-MoP-29 Low Temperature Deposition of SiO2 and SiOC Films
Chad Brick (Gelest, Inc); Tomoyuki Ogata (Mitsubishi Chemical Corporation) Atomic layer deposition (ALD) has emerged as a critical thin film deposition technique, particularly in the semiconductor, photonic, and energy storage industries, due to its ability to produce conformal, uniform films with atomic-scale precision. However, many ALD processes require elevated temperatures or harsh co-reactants to drive the reactions, which limits the applicability of ALD towards thermally or chemically sensitive substrates. This work presents the development and characterization of a novel low temperature ALD process for the deposition of silicon dioxide (SiO2) thin films using a newly designed precursor and oxidant combination. The novel process enables the deposition of stoichiometric SiO2 films at, near, or even below ambient temperatures and with acceptable cycle times, circumventing the need for high substrate temperatures and expanding the scope of SiO2 ALD for temperature-sensitive applications. Furthermore, it will be shown that the highly specific and gentle oxidation conditions of the process afford ample opportunity opportunities for area-selective deposition, deposition of SiO2 films on chemically sensitive organic substrates, or selective doping of the growing SiO2 films with carbon-containing species for the formation of SiOC films. Plasma and thermal densification of the resulting films as well as characterization of the as-deposited and densified films will be discussed. |
AF-MoP-30 Vanadium-Sulphide Layers with Atomic Layer Deposition
Zsófia Baji, Zsófia Bérces, Zoltán Szabó, Zsolt Fogarassy, Péter Vancsó (Centre for Energy Research) Vanadium sulfides are a novel class of materials that have garnered significant interest due to their unique electronic, structural, and catalytic properties. Vanadium sulphide materials can exist in different compositions, among which VS2 is the most researched as a 2D material. The other stoichiometries of vanadium sulfides have not received as much attention, and so far there is hardly any data on the structure and properties of VS. A comprehensive understanding of the full range of vanadium sulfides remains limited, prompting the need for advanced computational studies. The present work compares the properties of atomic layer deposited VS layers from tetrakis(ethylmethylamino)vanadium (TEMAV) and H2S, and computational models obtained with density functional theory (DFT) calculations. The ALD VS layers are amorphous, exhibiting a mixture of different stoichiometries with an internal composition of 63% V and 37% S. Upon removal from the ALD reactor, oxidation begins immediately. Annealing the samples in a sulfur-containing atmosphere promoted crystallization and resulted in a pure VS stoichiometry, with the top part of the layer polycrystalline and the lower portion remaining amorphous. The annealed VS layers were found to be semiconductors, with the sulfur treatment enhancing the stability and oxidation resistance of the material [1]. To further understand the grown material's properties, DFT calculations were performed. These simulations aimed to identify the different stable crystalline structures of VS that grown on the amorphous form of VS. Due to the very limited DFT data for VS, we employed different theoretical methods: standard DFT in the generalized-gradient approximation (GGA), GGA+U methods as a function of the U parameter, and hybrid functionals (HSE) to reveal the electronic, magnetic and optical properties. Additionally, we investigated the effect of the defects to gain insights into its modified electronic behaviour and its potential applications. [1] Zs. Baji et al. Novel precursor for the preparation of vanadium-sulphide layers with atomic layer deposition, accepted JVSTA (2025) |
AF-MoP-31 Film and Surface Stress Measurements during Tungsten Atomic Layer Deposition
Ryan B. Vanfleet, Steven M. George (University of Colorado at Boulder) Film and surface stresses were measured during tungsten (W) atomic layer deposition (ALD) using in situ wafer curvature techniques. Tungsten was deposited at temperatures between 125 °C and 285 °C using tungsten hexafluoride (WF6) and disilane (Si2H6) as the reactants on aluminum oxide (Al2O3) ALD surfaces. Tensile film stresses were observed at all deposition temperatures (Figure 1). The maximum film stress was ~2.2 GPa at 150 °C. The film stress decreased to ~1.0 GPa at 285 °C. For comparison, Al2O3 ALD films deposited at 125-285 °C have much smaller tensile film stresses of ~0.5-0.1 GPa. The large tensile stress in W ALD films may be generated at the grain boundaries. Atoms are too far apart at grain boundaries and experience an attractive force that wants to pull them together. Surface stresses were also measured during the individual WF6 and Si2H6 exposures during W ALD. For W ALD at 200 °C (Figure 2), the individual WF6 exposures produced a tensile stress of ~+2 N/m. This tensile stress is correlated with the removal of sacrificial SiFxHy surface species and the deposition of W and WFz surface species. The individual Si2H6 exposures then partially released the tensile stress with a compressive stress change of ~-1 N/m. There is a net tensile stress change per W ALD cycle of ~+1 N/m. The Si2H6 exposures redeposit sacrificial SiFxHy surface species. Other in situ stress measurements on SiO2 native oxide on Si wafers have shown that the large tensile stresses in W ALD films can lead to delamination. The large tensile stresses in W ALD films can be reduced by periodic trimethylaluminum (TMA) exposures. The addition of TMA modifies the film surface and forces the re-nucleation of the W ALD film. The reduced stresses during the nucleation phase of W ALD growth contribute to a reduced tensile stress in the overall W ALD film. W ALD film stress can be tuned by the frequency of the TMA exposures. View Supplemental Document (pdf) |
AF-MoP-32 Modifying Vanadium Oxide by Atomic Layer Plasma Treatment
Ritwik Bhatia, Mohammad Saghayezhian (Veeco Instruments); Ganesh Sundaram (Veeco) VO2 shows a insulator to metal phase transition when it undergoes a transition in crystal structure from monoclinic to rutile as it is heated through the transition temperature ~ 68oC. ALD VO2 deposited via alkylamido precursors (TDMAV and TEMAV) ~ 150-200oC is amorphous as deposited and requires high temperature annealing (400-670oC) to obtain a material with adequate crystallinity to show the metal-insulator transition [1,2,3]. ALD is a cyclic process with discrete steps – precursor introduction, precursor purge, co-reactant introduction, co-reactant purge. This allows the introduction of other steps into the ALD cycle to tune the film properties. One such approach (atomic layer annealing or atomic layer bombardment) injects energy to the film-growth surface by introducing an inert gas plasma step into the ALD cycle. It has been shown to produce epitaxial AlN [4] and GaN [5] films at low temperatures and to improve density and electrical properties of HfO2 [6]. In this work, apply the principle of atomic layer annealing to VO2. We have characterized changes to the film properties as a function of plasma exposure. VO2 grown with water as a co-reactant responds very differently to plasma exposure compared to O3 as a co-reactant. ALA causes a large increase in film roughness (porosity?) and reduction in refractive index for the water based process. The ozone based process shows a slight increase roughness and the refractive index due to ALA. Grazing incidence x-ray diffraction and Raman spectroscopy do not show crystallization of the film due to ALA. [1] Peter et al, Adv. Func. Mat., 2017, Metal-Insulator Transition in ALD VO 2 Ultrathin Films and Nanoparticles: Morphological Control [2] Tadjer et al, Solid State Elec, 2017, Temperature and Electric Field Induced MetalInsulator Transition in Atomic Layer Deposited VO2 Thin Films [3] Niang et al, Appl. Phys. Lett., 2021, Microstructure scaling of metal–insulator transition properties of VO2 films [4] Shih et al, Nature Sci. Rep., 2017, Low-temperature atomic layer epitaxy of AlN ultrathin films by layer-by-layer, in-situ atomic layer annealing[5] Lee at al, ACS Sustainable Chem. Eng, 2018, Nanoscale GaN Epilayer Grown by Atomic Layer Annealing and Epitaxy at Low Temperature [6] Yin et al, ACS Appl. Electron. Mater, 2020, Enhancement of dielectric properties of nanoscale HfO2 thin films via atomic layer bombardment |
AF-MoP-33 Prediction of Adsorption/Desorption Equilibrium Constants and Surface Reaction Rate Constants Using Neural Network Potentials for ALD Process Design
Noboru Sato, Naoki Tamaoki, Atsuhiro Tsukune, Yukihiro Shimogaki (The University of Tokyo) For systematic design of ALD processes, adsorption/desorption equilibrium constants of precursors and reactive gases as well as surface reaction rate constants are required. Density functional theory (DFT) calculations can be used to determine the structures and energies of the adsorption states of chemical species and the transition states of surface reactions. However, the calculation of the reference vibrational frequencies needed for entropy and partition function evaluations requires an enormous computational cost. Consequently, discussions have often been based solely on changes in energy while neglecting entropy and partition functions. As a result, even when the activation energy for the surface reaction rate constant is obtained, it has been necessary either to assume a frequency factor of 10¹³ s⁻¹ for the rate constant or to adjust the frequency factor to match experimental results. Recently, atomistic simulation using neural network potentials (NNP) have attracted attention. An NNP is an atomic potential constructed by machine-learning on DFT calculation data, and it can perform calculations in less than one second that would otherwise take several hours using DFT. Therefore, atomistic simulators employing an NNP now enable the computation of reference vibrational frequencies within a practical time frame. In this study, we investigated whether atomistic simulator (Matlantis™) using the Preferred Potential (PFP) can be applied to the design of ALD process by calculating surface adsorption/desorption equilibrium constants and surface reaction rate constants with sufficient accuracy. Figure 1 shows the results of calculating the transition state for the reaction in which CO₂ from the gas phase directly collides with an H atom adsorbed on Cu(111) to form a formate group, along with the vibrational frequencies of each mode in the transition state. The activation energy from the physisorption state is 80 kJ/mol; however, since an Eley–Rideal (E–R) type reaction is assumed, ΔETST becomes 53 kJ/mol after subtracting 27 kJ/mol corresponding to the physisorption energy. The presence of exactly one imaginary vibrational mode confirms that the structure is indeed a transition state. Based on these results, the reaction probability was calculated, and the comparison with experimental values [1] is shown in Figure 2. The reaction probability could be reproduced with an error of less than a factor of two. This indicates that the use of an NNP enables optimal design of the ALD process based on surface reaction rate constants. References 1. J. Quan et. al., Nature Chemistry, 11 (2019) 722–729. View Supplemental Document (pdf) |
AF-MoP-34 In Situ Synchrotron Hard X-Ray Scattering Studies of the Structural Evolution of InAlN During Growth by PEALD
Jeffrey Woodward (U.S. Naval Research Laboratory); Kenneth Evans-Lutterodt (Brookhaven National Laboratory); David Boris, Michael Johnson (U.S. Naval Research Laboratory); Zachary Robinson (University of Rochester Laboratory For Laser Energetics); Ruipeng Li, Masafumi Fukuto (Brookhaven National Laboratory); Karl Ludwig (Boston University); Charles Eddy, Scott Walton (U.S. Naval Research Laboratory) Plasma-enhanced atomic layer deposition (PEALD) is a promising technique for the growth of indium aluminum nitride (InAlN) and other alloys due to its atomic-level control of layer thicknesses and non-equilibrium process conditions, which can enable compositions within miscibility gaps. However, unlike the conventional model of atomic layer deposition (ALD) which assumes ideal layer-by-layer growth, the PEALD growth of highly crystalline films is often far more complex, with dynamic evolution of the nanoscale morphology occurring rapidly during the early stages of growth and depending on factors such as the plasma properties[1] and the strain evolution within the film.[2] Furthermore, compared to the growth of binary compounds, the growth of ternary alloys using the standard supercycle approach poses additional challenges as the constituent layers may exhibit differences in growth mode (e.g., island versus layer-by-layer) which could lead to deviations from the intended alloy composition, reductions in material quality, or even promote kinetic phase separation. Understanding how the process parameters and related properties influence the growth kinetics and the resultant material properties is essential for the realization of the PEALD growth of high quality alloy films. Grazing incidence small-angle x-ray scattering (GISAXS) using synchrotron radiation is well suited to this task, as it is nominally nondestructive, capable of probing nanoscale structure in real time, and is compatible with arbitrary process environments. In this work, we investigate the influence of supercycle period on the nanoscale structural evolution of InAlN during growth by PEALD on gallium nitride (GaN) using in situ GISAXS experiments conducted at the Integrated In Situ and Resonant Hard X-ray Studies (ISR) beamline of National Synchrotron Lightsource II (NSLS-II). As the supercycle period is varied, significant differences in the evolution of the film structure are observed which do not follow a simple monotonic trend. The InAlN films are characterized ex situ using grazing incidence wide-angle x-ray scattering (GIWAXS), x-ray diffraction (XRD), in-plane grazing incidence diffraction (IP-GID), x-ray reflectivity (XRR), and atomic force microscopy (AFM). [1] Jeffrey M. Woodward et al. Influence of plasma species on the early-stage growth kinetics of epitaxial InN grown by plasma-enhanced atomic layer deposition. J. Vac. Sci. Technol. A 40, 062405 (2022); https://doi.org/10.1116/6.0002021 [2] Peco Myint et al. Coherent X-ray Spectroscopy Elucidates Nanoscale Dynamics of Plasma-Enhanced Thin-Film Growth. ACS Nano 18, 1982–1994 (2024); https://doi.org/10.1021/acsnano.3c07619 |
AF-MoP-35 Thermal ALD Vanadium Nitride (VN) as Next-Generation Electrode
Antony Jan, Hae Young Kim (Eugenus, Inc.) As semiconductor devices continue to shrink in scale, there is an increasing demand for electrode materials with improved properties. A current electrode material in use for many applications is titanium nitride (TiN), valued for its low resistivity, thermal and chemical stability, and compatibility with semiconductor processes. However, next-generation electrode materials--such as for DRAM applications--require lower resistivity, higher work function, higher density, and capability of highly conformal deposition into high aspect ratio structures. Vanadium nitride (VN) is one material that may possess these superior properties. In this work, we have developed a thermal ALD vanadium nitride process on our 300 mm wafer tool. VN could be deposited over the tested range of 300 to 550°C, with a with-in-wafer thickness non-uniformity as low as 1%. On blanket wafers, VN films exhibited lower resistivity than reference TiN at all tested temperatures and thicknesses, as low as 110 μΩ*cm at a thickness of 10 nm. Work functions of VN films were consistently higher than for reference TiN, as measured by ultraviolet photoelectron spectroscopy (UPS). VN composition was roughly 1:1, with less than 1% of C or Cl detected by XPS. Grazing incidence x-ray diffraction (GIXRD) showed the films have a cubic structure with lattice parameter 4.11Å. VN films were highly conformal and could be deposited with step coverage values of 90 to 100%, into vias with aspect ratio as high as 70:1. |
AF-MoP-37 Atomic Layer Deposition of a Low Carbon Hafnium Oxide Using (2-methylindenyl)tris(dimethylamido)hafnium and Ozone
Drew Hood, Rong Zhao (Entegris) High-K metal oxide layers, such as hafnium oxide, find applications across various device architectures ranging from the well established to the cutting edge .1,2 Emerging applications, such as ferroelectrics for ultralow-power logic, continue to drive the development of hafnium oxide deposition.3 In established and emerging applications new hafnium oxide precursors that can provide better uniformity, higher film quality, or reduce tool time are desirable. Here we report a new hafnium oxide ALD precursor, (2-methylindenyl)tris(dimethylamido)hafnium (2-MeIndHf(NMe2)3), focusing on deposition performance using ozone and water. The results are compared to benchmark compounds tetrakis(ethylmethylamino)hafnium (TEMAHf) and tris(dimethylamido)cyclopentadienylhafnium (CpHf(NMe2)3) with a focus on growth per cycle (GPC), saturation, and film quality. Using process conditions optimized for each precursor, 2-MeIndHf(NMe2)3 had the highest GPC at 0.86 Å/cycle, the lowest carbon incorporation, and better precursor/oxidizer saturation than benchmark compounds. Other advantages such as synthesis scalability are also discussed. References
|
AF-MoP-38 Rapid Low-Temperature Atomic Layer Deposition of HfO2
Xianhu Liang, Volkmar Hock, Hartmut Buhmann, Johannes Kleinlein, Laurens W. Molenkamp (University of Wuerzburg) Hafnium oxide (HfO2) thin films are extensively used as gate dielectrics. These films can be deposited by low-temperature atomic layer deposition using tetrakis-dimethylamido hafnium and water as precursor and oxidant, respectively, making them compatible with temperature-sensitive substrates. Recently, utilizing a homemade reactor, we successfully implemented such HfO2 layers as gate dielectrics in various devices of thermally sensitive mercury telluride heterostructures [1-5]. However, in comparison to conventional (high-temperature) HfO2 deposition protocols, at deposition temperatures of 30 °C, processes are time-consuming due to the long purge times involved [6]. In this talk, we present an innovative strategy to reduce the overall process time for a typical HfO2 layer of 15 nm thickness by an order of magnitude, from 10 hours to 1 hour. This strategy involves reducing the purge time after each water pulse, accomplished by controlling the number of water molecules entering the reactor per pulse. This is achieved by significantly reducing the water vapor pressure in the source by cooling it below its freezing temperature, thereby forming water ice. The purge times are then adjusted to a minimum required for stable and reproducible deposition conditions. We present details of this process development and discuss the observed growth rate characteristics on thermally oxidized silicon wafers. For the optimized process parameters, the HfO2 film thickness varies linearly with the number of cycles, and the deposition is homogeneous across the surface of the 2" sample stage. To demonstrate the applicability of this process, we apply the HfO2 films as gate dielectric in lithographically patterned, high electron mobility quantum well devices. By performing electrical transport measurements, we investigate the gate performance at 1.4 K and find that the gate structures employing HfO2 deposited using rapid low-temperature atomic layer deposition provide reliable and efficient gating, comparable to our previous technology. The relative permittivity of the HfO2 extracted from the transport experiments is 11+-1 and the gate action is 1E12/Vcm2 for a 15 nm HfO2 film. Consequently, we have established a rapid low-temperature atomic layer deposition process suitable for temperature-sensitive substrates that offers an order of magnitude reduction in deposition time. [1] Strunz et. al, Nat. Phys. 16, 83 (2019); [2] Shamim et al., Nat. Commun. 12, 3193 (2021); [3] Fuchs et. al, Phys. Rev. B 108, 205302 (2023); [4] Fuchs et. al, Nano Futures 8, 025001 (2024); [5] Liang et al., Nanotechnology 35, 34001(2024); [6] Shekhar et. al, ACS Appl. Mater. Inter. 14, 33960 (2022) View Supplemental Document (pdf) |
AF-MoP-39 Innovative Advanced Deposition Material (ADM) Technique for Low-Resistivity, High-Conformality Metal and Barrier Thin Films
Kok Chew Tan, Changbong Yeon, Deok Hyun Cho, Jung Hun Lim, Jaesun Jung (Soulbrain) As semiconductor device scaling approaches atomic dimensions, adopting next-generation metals for NAND, DRAM, and logic/foundry applications becomes crucial. Traditional metallization materials like copper and tungsten face increasing resistivity at reduced dimensions as well as challenges in barrier/liner scaling and deposition for complex patterns. Additionally, integrating high-performance metal gate electrodes with gate dielectrics layer requires addressing stringent electrical, thermal, and structural compatibility. Molybdenum, with its low resistivity, short mean free path, and minimal diffusivity, offers a promising alternative for interconnects. For gate stacks integration, titanium nitride (TiN) serves as an effective barrier metal due to its high thermal stability, excellent diffusion resistance, and compatible work function. However, achieving uniform, ultra-thin, and defect-free TiN films with high conformality in high aspect ratio patterns is a significant challenge. In this work, we present a novel Advanced Deposition Material (ADM) technique designed to deposit low-resistivity interconnect metals and barrier metal thin films including TiN at high temperatures with excellent step coverage. By leveraging inhibition and/or activation within each atomic layer deposition (ALD) cycle, the ADM method achieves precise control over film properties, resulting in low resistivity, enhanced conformality, and improved diffusion barrier performance. This ADM technique paves the way for advanced semiconductor device fabrication. |
AF-MoP-40 Evaluation of a Hafnium Precursor with Higher Thermal Stability for the Atomic Layer Deposition of Hafnium Oxide Films
Randall Higuchi, Khang Ngo, Bhushan Zope, Joo-Yong Kim, Dong-Geun Lee (Merck KGaA, Darmstadt) Hafnium oxide (HfO2) is a critical material in the semiconductor industry used in DRAM, 3D-NAND and Logic Devices due to its excellent dielectric properties including a high dielectric constant and ferroelectric behavior (1). HfO2 films are used as high-k dielectric in capacitor for DRAM devices and in HKMG for Logic & DRAM devices. Additionally, these films are expected to find additional applications as blocking oxide in 3D-NAND and as BEOL capacitor dielectric in Logic devices. The key requirements of Hf precursors includes high thermal stability, enabling higher temp ALD window and HfO2 films with low film impurities and achieving excellent step coverage. For this study we use the Chipmetrics Pillar Hall test vehicle to investigate the step coverage performance of this Hafnium precursor compared to other Hafnium precursors. By fixing the precursor flux during the dose and other process conditions, step coverage in the Pillar Hall trench can be compared at high aspect ratios. In this study, we evaluate a Hf precursor that demonstrates superior thermal stability and improved step coverage up to 400°C compared to conventional precursors. This precursor has good vapor pressure for delivery for an ALD process and can be deposited up to 400°C without decomposition. The higher deposition temperature enabled HfO2 growth with lower Carbon and Hydrogen content. Step coverage performance was evaluated and showed improved step coverage at 400°C compared to conventional precursors at their standard deposition temperature. The findings demonstrate the higher thermal stability and step coverage for this Hf precursor.
|
AF-MoP-41 Modulation of HfxZr1-xO2 Thin Film Characteristics via ALD and ALE
Ming-Kuan Fan (National Tsing Hua University, Taiwan ;Taiwan Instrument Research Institute); Yi-Cheng Chen (National Tsing Hua University, Taiwan); Chien-Wei Chen, Yang-Yu Jhang, Sheng-De Wong (Taiwan Instrument Research Institute); Hong-Luen Lin (Tokyo Electron Taiwan Limited); Ying-Hao Chu (National Tsing Hua University, Taiwan) With the advance of the semiconductor industry, the pursuit of smaller transistor sizes presents a significant challenge in effectively controlling gate voltage. This challenge becomes particularly pronounced as transistors scale down, making it increasingly difficult to retain precise control over their on/off states. Herein, hafnium zirconium oxide (HfxZr1-xO2, HZO) has emerged as a significant material in the realm of higher-k. This solid-solution ceramic combines the advantageous characteristics of hafnium oxide (HfO2) and zirconium oxide (ZrO2), which is regarded as a promising candidate material for memory devices and related electronic devices. During the growth of nanolaminate HZO films, the TEMAHf and TEMAZr precursors were pulsed into the reaction chamber at different cycle ratios to form varied compositions. We used XPS survey spectra to quantify HZO stoichiometry precisely. This method can precisely control the atomic ratio of Hf and Zr to change the interface polarization state. With this modulation, the dielectric constant of HZO can be enhanced to 38, with equivalent oxide thickness below 0.6nm while maintaining a leakage current below 10-7A/cm2.Besides, atomic layer etching (ALE) has been proposed for introduction into advanced semiconductor processes, especially in gate-all-around (GAA) or nano-sheet FET structures, due to its precise control and high etching selectivity. The crystallization temperature typically increases as the film thickness decreases due to the lower surface-to-volume ratio of thicker films favoring their crystalline phases. Consequently, when there are temperature constraints, amorphous HZO films may have to be grown thicker, annealed to crystallize, and then etched back to obtain the desired ultrathin crystalline thickness. In this study, we propose plasma ALE verification of HfₓZr₁₋ₓO₂ thin films using remote tetrafluoromethane (CF4) plasma to achieve HZO surface fluorination and using dimethylaluminum chloride (DMAC) employed as the metal precursor for ligand exchange of fluoride removal layer. In the etching curve of HZO ALE, it can be estimated that the etching per cycle (EPC) of HZO at 400oC is approximately 0.55 Å/cycle. View Supplemental Document (pdf) |
AF-MoP-42 Atomic Layer Deposition of Lanthanum Oxide Using New La Precursors
Junhyun Song, Seungmin Han, Jungwon Hwang (Air Liquide) The continuous scaling of memory devices has led to challenges such as reliability issues due to leakage current and high power consumption. Lanthanum oxide (La2O3) is emerging as material for advanced memory devices because of its superior properties. For example, La2O3 is considered one of the promising materials for gate dielectrics due to its high dielectric constant (~27), wide bandgap (5.8~6.0eV), and thermodynamic stability in direct contact with Si. In addition, La2O3 is used as a dipole layer to adjust the effective work function of high-k metal gates, thereby reducing the threshold voltage. [1], [2] Atomic layer deposition (ALD) is one of the most practical tools for advanced gate dielectric formation due to its precise thickness control, high uniformity and conformality. Air Liquide has developed three La precursors (La1, La2 and La3). Among them, La1 exhibits promising physical properties, including high vapor pressure and excellent thermal stability, making it a promising candidate for the ALD process. ALD evaluation was performed using La1 and ozone as the co-reactant. ALD window was observed up to approximately 350 oC, with a GPC >1A/cycle. Additionally, good step coverage (>90%) was achieved at 180 °C (S/C >90%, A/R=1:25). Carbon content within the films was dramatically reduced through a post-annealing process in the Ar atmosphere at 550 °C for 10 minutes. Fig 1. Step coverage by using La1 with O3 at 180oC Reference [1] Journal of the Korean Physical Society, 2002, 41(6), 998-1002. [2] Microelectronic engineering, 2011, 88(12), 3385-3388. View Supplemental Document (pdf) |
AF-MoP-43 Ozone-Based Atomic Layer Deposition of Indium Oxide Thin Films: Impact on the Growth Rate and Its Uniformity of N2 Supply in Ozone Generation
Seung-Youl Kang, Jaehyun Moon (Electronics and Telecommunication Research Institute (ETRI)); Changbong Yeon, Jaesun Jung (Soulbrain Co., Ltd.); Jong-Heon Yang, Chi-Sun Hwang, Seong-Mok Cho, Yong Hae Kim, Jae-Eun Pi, Seong-Deok Ahn (Electronics and Telecommunication Research Institute (ETRI)) Recently, thin indium oxide with a thickness of less than 5 nm has gained significant attention as an active material for thin film transistors due to its much higher electron mobility compared to other oxide semiconductors. When combined with the atomic layer deposition (ALD) method, it holds promise for use in back-end-of-line (BEOL) compatible transistors for monolithic 3D integration, as well as displays. The ALD method is particularly appealing for depositing ultrathin oxide semiconductors because it offers excellent thickness uniformity and controllability, with exceptional conformality that supports applications in monolithic 3D integration. To deposit oxide semiconductors using ALD, two key components are required: a metal precursor and a reactant. While various organometallic precursors for oxides have been developed and tested, the range of oxidants available is limited. Only a few molecules have been used as reactants, including water, hydrogen peroxide, ozone, oxygen plasma, and certain types of alcohols. However, not all oxidants are compatible with every precursor. For example, both water and ozone can be used with trimethylaluminum for Al2O3, whereas ozone is the only oxidant that can be used with trimethylgallium for Ga2O3, and DADI, InCp for In2O3. Ozone is often preferred for mass production because it is more reactive and easier to purge from the reaction chamber than water, though it does have drawbacks regarding deposition uniformity. In a previous report, we described the performance of TFTs using a new indium liquid precursor, DBADMI (N,N’-di-tert-butylacetimidamido)dimethylindium), renowned for its exceptional thermal stability across a wide temperature range (200°C to 350°C, which is the maximum temperature of our ALD equipment) . Like other indium precursors, DBADMI can be used to deposit In2O3 thin films with ozone, while water do not yield thin film formation. At an elevated temperature of 350°C, indium oxide films can be deposited with an acceptable growth per cycle (GPC) of approximately 1.1 Å per cycle, but the film thickness uniformity across the substrate was observed to be rather poor. In this article, we investigated the uniformity and growth rate of In2O3 thin films using DBADMI and ozone. Our findings revealed that the ratio of nitrogen (N2) to oxygen (O2) supply in the ozone generation process significantly affects the uniformity and growth rate of the In2O3 films. (Fig. 1.) Acknowledgements This work was supported by Electronics and Telecommunications Research Institute (ETRI) grant funded by the Korea government. (25ZH1200, The Development of the Technologies for ICT Materials, Components and Equipment) View Supplemental Document (pdf) |
AF-MoP-44 Promising ALD Precursor for Next-Generation Circuit Material: A Novel Ru-Based ALD Precursor with Lower Vaporization Temperature
ziyu Yan, Yong-Jay Lee (Industrial Technology Research Institute) As the technology trend is focused on downscaling the size of transistors, the critical dimensions (CD) of integrated circuits (ICs) continue to shrink. Cu (copper) has the lowest bulk resistivity among other metals and therefore has become the primary material to use as a wire for electrical conductivity. However, as dimensions are scaled down, the resistivity of Cu increased by 2.5-fold under the size of 22 nm nodes, compared to bulk Cu. With the technology trend focused on downscaling the size of transistors, this phenomenon becomes fatal and will impact the overall performance of the circuit. According to past research, Ru (ruthenium) has a lower resistivity than Cu at thin metal lines starting from 10 nm in thickness. Therefore, it is expected to play an important role as a gate metal for next-generation circuit materials as an alternative to Cu. Researchers can fabricate Ru metal thin films using various deposition techniques, such as electroplating, chemical vapor deposition, and atomic layer deposition (ALD). Among these methods, the ALD process has an extremely high application value because of its excellent film growth thickness controllability, step coverage, and large-area uniformity. A high-quality ALD precursor needs to match several requirements, such as having a high vaporization rate, high reactivity, and high thermal stability. In this study, we successfully synthesized a novel ruthenium (Ru) ALD precursor, after TGA and DSC tests, it was found that the boiling point and vaporization temperature of this compound are lower than other commercial ruthenium precursors. Therefore, this precursor can be used at a lower processing temperature, which reduces energy costs and allows it to be applied to a wider range of ALD instruments with different specifications. At the same time, we are also developing other Ru precursors with different substituted groups to achieve different properties. We look forward to further developments of these precursors that can be used for next-generation circuit material. |
AF-MoP-45 Low-Temperature ALD of Silicon Nitride Films Using Dis and Tis Precursors: A Strategy for Substrate Protection and High-Density Films
Myeonghun Lee, Taeheon Kim, Minchan Kim, Changkyun Park, Jinseong Park (Hanyang University, Korea) Recently, various types of memory are being actively researched and developed to meet market demands for high performance and low power consumption. In emerging memory technologies, significant changes in cell materials and structures have made the gate spacer film increasingly important for protecting the cell material. Silicon nitride film has been used as gate spacer due to high density and reliable properties. ALD at high temperatures (>700°C) using DCS (Dichlorosilane, SiH₂Cl₂) has been the conventional method for deposition. However, this method can cause damage to the cell material, necessitating the development of alternative approaches to minimize such damage1. We investigated ALD process with DIS(SiH2I2) and TIS(SiHI3) in lower temperature(<300℃) to prevent the damage by chlorine and high temperature. We first examined the ALD window of DIS, focusing on process temperature and plasma power. Our results show that higher temperatures lead to lower impurity levels, resulting in a Si/N ratio close to 75% at 300°C, which is ideal for SiNx films. Additionally, lower plasma power resulted in lower impurity levels, with a Si/N ratio stabilizing at 75% under 100W plasma power. When analyzing film roughness, lower plasma power also led to smoother films, which is beneficial for device performance. We then compared the activation energies of DIS and TIS, finding that TIS exhibited a lower activation energy than DIS. We also studied the effect of varying TIS ratios (0%, 1%, 4.4%) on film properties. The growth per cycle (GPC) and refractive index (R.I.) showed minimal changes with different TIS ratios, but the film density increased with higher TIS content, despite similar impurity levels. This increase in film density, coupled with the lower activation energy of TIS, suggests that TIS-added films may provide enhanced SiNx gate spacer films that can effectively prevent initial damage and better protect the cell material during post-processing steps. Reference 1. Xin Meng, Atomic Layer Deposition of Silicon Nitride Thin Films: A Review of Recent Progress, Challenges, and Outlooks, 2016, 2. Daehyun Kim, Low temperature atomic layer deposition of high quality SiO2 and Si3N4 thin films, 2019 View Supplemental Document (pdf) |
AF-MoP-46 Thermal Atomic Layer Deposition of Silicon Carbonitride Using Carbon-Containing Silicon Precursor
Okhyeon Kim, Tanzia Chowdhury, Mi-Soo Kim, Changgyu Kim, Hye-Lee Kim, Jeong Woo Han, Jae-Seok An, Jung Woo Park, Won-Jun Lee (Sejong University) Silicon carbonitride (SiCN) offers a lower dielectric constant and better etch selectivity than silicon nitride (SiN), making it a promising alternative to SiN for semiconductor device fabrication. It is particularly suitable as a low-k barrier in copper interconnects and as a gate sidewall spacer in memory devices. However, an optimal deposition method to ensure conformality in high-aspect-ratio structures has not yet been established. SiCN is typically deposited by plasma-enhanced chemical vapor deposition (PECVD) or plasma-enhanced atomic layer deposition (PEALD) [1], but these methods have conformality limitations. In this study, we present the thermal ALD of SiCN using carbon-containing silicon precursors at 600–800°C. The maximum ALD temperature was determined based on self-limiting behavior with increasing precursor and ammonia exposure and confirmed by step coverage analysis in high-aspect-ratio patterns. Films deposited at the maximum ALD temperature were characterized by X-ray photoelectron spectroscopy (XPS) analysis. Carbon atoms bonded to silicon were observed in the film at concentrations of approximately 10–30%, resulting in a low dielectric constant of 4.9. In addition, density functional theory (DFT) calculations explain the mechanism of carbon incorporation. The thermal ALD approach of this study enables the deposition of conformal films of ternary SiCN compositions without the need for complex supercycle ALD processes of SiN and silicon carbide (SiC). View Supplemental Document (pdf) |
AF-MoP-47 Atomic Layer Deposition of High-Quality SnO Thin Films Using Sn(EtCp)2 Precursor
Fumikazu Mizutani, Nobutaka Takahashi (Kojundo Chemical Laboratory Co., Ltd.); Tomomi Sawada (National Institute for Materials Science,); Toshihide Nabatame (National Institute for Materials Science) Recently, SnO thin film has attracted attention because of an advantage of high hole mobility in the application of p-type oxide semiconductor. However, there are few reports of atomic layer deposition of conformal and defect-free SnO thin films. Previously, we reported on ALD of SnO using a new Sn precursor (bis(ethylcyclopentadienyl) tin; Sn(EtCp)2) [1]. Generally, ALD of SnO uses H2O as a co-reactant for a divalent precursor, but no film growth was observed in ALD using Sn(EtCp)2 and H2O. To improve the adsorption of the precursor on the SnO surface, H2O was used followed by H2 plasma, which allowed the deposition of SnO thin films. However, when the elemental depth profile was analyzed, a small amount of Si was detected from the surface, indicating that a dense film had not been deposited. To investigate the cause of this, the obtained SnO film was analyzed by XPS, and a small amount of Sn0 signal was detected, indicating the presence of a metal component. This suggests that excessive reduction by the H2 plasma occurred. In the previous report, SnO films were deposited on 150 mm Si wafers with native oxide films at a growth temperature of 200 °C, and saturation of reaction was confirmed with a H2 plasma pulse time of 5 s. Therefore, this time we investigated whether it would be possible to deposit a dense film by shortening the H2 plasma pulse time to 1 second. FIG. 1 shows a comparison of film growth when the H2 plasma time is 5 s and 1 s. As can be seen from FIG. 1, by shortening the H2 plasma pulse time, the GPC became smaller, suggesting that the film became denser. The refractive index n at 380 nm of the SnO film deposited with 30 cycles, estimated by spectroscopic ellipsometry, was about 3.0 for both 5 s and 1 s H2 plasma pulse times. However, for the film deposited with 100 cycles, the refractive index decreased to about 1.8 for the 5 s H2 plasma pulse time, but only to about 2.6 for the 1 s H2 plasma pulse time. This indicates that a dense film can be formed by shortening the H2 plasma pulse time. At the conference, we will report on the results of optimizing the H2 plasma power and pulse time to deposit dense films and measure their electrical properties. Reference [1] F. Mizutani, N. Takahashi, and T. Nabatame, AF1-TuA-4, 24th International Conference on Atomic Layer Deposition (2024). View Supplemental Document (pdf) |
AF-MoP-48 Damage-Free XPS Analysis of ALD HfO2, ZrO2 and HfZrOx Films Using Ar Cluster Ions
Seungwook Choi, Ansoon Kim (Korea Research Instutue of Standards and Science (KRISS)) HfO2, ZrO2, and their mixture, HfxZr1-xO2 (HZO), are high-k materials widely studied and applied in various aspects such as gate dielectrics, charge trapping layers, doped oxides, and the formation of nanolaminated layers with other high-k materials1. Recently, there has been active research in applications such as resistive RAM (RRAM) and ferroelectric RAM (FeRAM)2,3. To achieve optimal properties as gate oxides, HfO2 and ZrO2 are typically deposited using Atomic Layer Deposition (ALD). Ideally deposited, these oxides exhibit a high dielectric constant and low defect characteristics, resulting in low leakage current. A critical technique for determining the composition ratio and impurities of HfO2, ZrO2, and HZO to optimize ALD deposition conditions is X-ray Photoelectron Spectroscopy (XPS). XPS analyzes photoelectrons emitted from the sample surface upon exposure to X-rays, providing detailed information on the surface’s chemical composition.To fabricate ALD thin films with optimal gate oxide performance, it is essential to conduct comprehensive analyses. Specifically, evaluating oxygen vacancies, impurity levels, and chemical composition is crucial, as these factors significantly influence the dielectric constant, leakage current, and stability of the gate oxide device. XPS provides precise and surface-sensitive chemical composition analysis, enabling detailed characterization of ALD thin films.However, ex-situ XPS measurements expose samples to atmospheric contaminants (CO2, H2O, etc.), which can hinder accurate chemical characterization of HfO2, ZrO2, and HZO films. While Ar+ ion beam sputtering is commonly used to remove surface contaminants, it can damage the film surfaces, leading to inaccurate analysis of oxygen defects and chemical composition of the films.In this study, surface chemical analysis of HfO2, ZrO2, and HZO thin films was performed using XPS after removing surface contaminants using monatomic Ar+ and Ar2500+ cluster ions. It was found that even at the lowest monoatomic Ar+ ion beam energy, all films were damaged, leading to reduced films (HfO2-x, ZrO2-x, HZO2-x) due to preferential sputtering. In contrast, sputtering with Ar2500+ cluster ions effectively removed surface contaminants without causing damage, allowing accurate characterization of oxygen defects and chemical composition. Furthermore, XPS combined with Ar cluster ion beam analysis enabled successful carbon impurity analysis of films fabricated by both PE-ALD and thermal ALD without damaging the films.1 W. Banerjee et al., Small, 2022, 18, 2107575.2 A. Chen, Solid-State Electron. 2016, 125, 25.3 M. Lanza et al., Adv. Electron. Mater. 2019, 5, 1800143. |
AF-MoP-49 Steric Hindrance of Hf Precursors and Film Growth of HfO2 Atomic Layer Deposition: Comparative Kinetic Monte Carlo Simulation
Yanwei Wen, Haojie Li, Bin Shan, Rong Chen (Huazhong University of Science and Technology) Hafnia (HfO2)-based ferroelectrics have attracted considerable attention for next-generation memory devices due to their robust ferroelectricity at ultra-thin scales. We combine density functional theory and kinetic Monte Carlo simulations to investigate the atomic layer deposition of HfO2 films from TEMA–Hf and HfCp(NMe2)3 precursors using O3 as the oxidant.Our results show that both precursors decompose preferentially at 2-coordinate O sites on the HfO₂ surface, while 3-coordinate O sites present much higher energy barriers. Kinetic Monte Carlosimulations reveal that growth-rates per cycle (GPCs) of TEMA-Hf and HfCp(NMe2)3 with O3 are 0.094~0.109 nm/cycle and 0.081~0.096 nm/cycle from 150°C to 350°C, aligning closelywith experiments. The slower GPC observed forHfCp(NMe2)3 is attributed to the greatersteric hindrance of cyclopentadienyl ligand(Cp)compared to the dimethylamide(NMe2) groups.Film morphology analysissuggests TEMA-Hf leads to smoother HfO2 films.Nitrogen incorporation remains at about 1% for TEMA–Hf and HfCp(NMe2)3, consistent with experimental observations,while the concentration of O vacancies slightly decreases with the increase of deposition temperature. These findings provide insight into how precursor selection and atomic-level reaction pathways influence film growth and composition, thereby affecting the ferroelectric performance in HfO₂-based devices. |
AF-MoP-50 In-Situ Spectroscopic Ellipsometry for Transition Metal Oxide Growth Control in Remote Plasma ALD Processes
Yousra Traouli, Ufuk Kilic (University of Nebraska - Lincoln); Mathias Schubert, Eva Schubert (University of Nebraska-Lincoln, USA) In this work, we investigate the real-time growth dynamics for transition metal oxide ultra-thin films (ZnO, WO₃, TiO₂) using Plasma-Enhanced Atomic Layer Deposition (PE-ALD) and in-situ spectroscopic ellipsometry (SE). Our primary focus is on characterizing the nonlinear growth regime during the initial nucleation phase and its transition into a stable, self-limiting layer-by-layer growth. By leveraging in-situ SE, we track the cyclic surface modifications, monitoring the evolution of film thickness and surface roughness throughout the deposition process1. The ALD growth utilizes organometallic precursors for the transition metals, with remote oxygen plasma and a water step as co-reactants to optimize film quality and uniformity. Additionally, we analyze the temperature dependence of the growth behavior to gain deeper insights into nucleation dynamics and film evolution2. Post-deposition structural and chemical characterization including scanning electron microscopy (SEM), atomic force microscopy (AFM), X-ray diffraction (XRD), and X-ray photoelectron spectroscopy (XPS) complements our in-situ findings. This study provides a comprehensive understanding of ALD growth mechanisms for transition metal oxides and offers valuable guidelines for optimizing deposition parameters to achieve high-quality, precisely controlled thin films. 1U. Kilic, A. Mock, D. Sekora, S. Gilbert, S. Valloppilly, G. Melendez, N. Ianno, M. Langell, E. Schubert, M. Schubert, Precursor-surface interactions revealed during plasma-enhanced atomic layer deposition of metal oxide thin films by in-spectroscopic ellipsometry, Scientific reports 10 (1) 10392 (2020). 2Y. Traouli, U. Kilic, S. G Kilic, M. Hilfiker, D. Schmidt, S. Schoeche, E. Schubert, M. Schubert, In-cycle evolution of thickness and roughness parameters during oxygen plasma enhanced ZnO atomic layer deposition using in-situ spectroscopic ellipsometry, J. Vac. Sci & Technol. A 42 (5) (2024). |
AF-MoP-52 A Theoretical Study on High-Temperature ALD of TiN Using CP(Ch3)5Ti(Ome)3 as a Precursor
Jae Min Jang (Hongik University); Hye Won Park (Incheon National University); Soo-Hyun Kim (Ulsan National Institute of Science and Technology); Han-Bo-Ram Lee (Incheon National University); Bonggeun Shong (Hongik University) In the field of semiconductor technology, titanium nitride (TiN) plays a critical role as a versatile material, serving as either diffusion barrier, adhesion layer, and conductor. As semiconductor devices continue to miniaturize, there is a demand for deposition methods of ultra-thin, easy thickness control, improved uniformity, and conformality, such as atomic layer deposition (ALD). For the above-mentioned applications of TiN, high temperature for the deposition process is often desirable. The precursors for high-temperature ALD of TiN, chlorine-containing Ti precursors may cast concerns regarding contamination and unwanted etching, while other Ti precursors often pose limitations in process temperature due to lack of thermal stability. In this regard, development of new ALD chemistry suitable for high temperature process is desirable. In this work, surface chemistry of Cp(CH3)5Ti(OMe)3 (trimethoxy(pentamethylcyclopentadienyl)titaniumor TMPMCT)as a precursor for high-temperature ALDof TiNis investigated. Machine learning interatomic potential (MLIP) calculations are applied to elucidate the surface reaction mechanisms of TMPMCT. At high temperatures, the TiN surface is expected to have low coverage of H-containing functional groups due to desorption of NH3 or H2 [1]. Therefore, dissociative adsorption pathways of TMPMCT on the pristine TiN surface without surface functional groups are considered. The reactions under NH3 exposure for removal of the ligands remaining on the surface are also elucidated. The changes in the Gibbs energy as functions of temperature are calculated as measure of the spontaneity of the reactions. Current work elucidates the chemistry of a potential new ALD process via molecular level theoretical investigations. Acknowledgements. This work was supported by the Technology Innovation Program [Public-private joint investment semiconductor R&D program (K-CHIPS) to foster high-quality human resources] [No. RS-2023-00236667, High performance Ru-TiN interconnects via high temperature atomic layer deposition (ALD) and development on new interconnect materials based on ALD] funded by the Ministry of Trade, Industry & Energy (MOTIE, Korea) (No. 1415187401). References. [1] Chem. Mater. 2002 14 (1), 281. |
AF-MoP-53 Adsorption of Hf Ald Precursor on Pristine HfO2 Surface Without Hydroxyl Groups
Woong Pyo Jeon, Miso Kim, Jinwoo Lee, Bonggeun Shong (Hongik University) Hafnium oxide (HfO2) stands as a prominent high-k dielectric material extensively employed as the gate oxide of nano-scale MOS devices. Atomic layer deposition (ALD) is a viable solution for the deposition of ultra-thin HfO2 films with precise thickness control, enhanced uniformity, and conformality. Various oxygen sources are used for HfO2 ALD, among which ozone or oxygen plasma can generate films devoid of surface functional groups such as hydroxyls (OH). Still, ALD of HfO2 can occur on these surfaces, which may involve adsorption of the ALD precursors through ligand decomposition or ligand-mediated reactions [1]. In this study, the adsorption mechanism of TDMAH (tetrakis(dimethylamido)hafnium) on surfaces of pristine monoclinic HfO2 without OHtermination is studied utilizing machine-learned interatomic potential (MLIP) and density functional theory (DFT) calculations. Accounting for potential byproducts arising from TDMAH adsorption and subsequent ligand desorption, diverse reaction pathways are explored, obtaining adsorption and activation energies for each scenario. To guide future experimental studies, vibrational spectra of the potential product structures are also calculated. Current study shows that ALD of oxides can proceed even without OH functional groups. Acknowledgments.This work was supported by the National Research Foundation of Korea (NRF) grant funded by the Korea government (MSIT, RS-2023-00210186). References. [1] J. Phys. Chem. C 126 (2022) 12210. |
AF-MoP-54 Sequential Adsorption of Dimethyl Zinc and Trimethylaluminum and Its Application to Zinc Aluminum Oxide Atomic Layer Deposition
Haruto Suzuki, Satoshi Suzaki, Hibiki Takeda, Ryo Miyazawa, Bashir Ahmmad, Fumihiko Hirose (Yamagata University) Zinc aluminum oxide films are applicable as wide-gap oxide semiconductors and for anti corrosion although the dependence of electronic properties on the zinc to aluminum atomic ratio is still unknown. In our laboratory, we have developed room-temperature atomic layer deposition of complex oxide using sequential adsorption where the two metal precursors are introduced to the surface sequentially to produce the mixed precursor saturated surfaces. This is assumed to allow for formation of perfectly atom dissolved oxide. In this experiment, we used dimethyl zinc (DMZ) as a Zn precursor and trimethylaluminum (TMA) as an Al precursor. The oxidizer was plasma excited humidified argon. We examined DMZ first introduction, followed by TMA adsorption. The atomic content ratio of Al to Zn was controlled by the exposure of the second gas of TMA. By using in-situ observation of IR absorbance spectroscopy, the TMA adsorption was modeled with one- and two-site adsorption models. Here TMA was assumed to adsorb on the DMZ-adsorbed surface with one or two unoccupied OH sites. Based on the dependence of TMA coverage on the DMZ exposure, the atomic ratio of Al to Zn was predicted. The related experimental results are presented, and the surface reaction mechanism is discussed in the conference. View Supplemental Document (pdf) |
AF-MoP-55 A Study on Laterally Controlled Distribution of Elements in InZnO Thin Films by Atomic Layer Modulation
Dong-Hyun Lim (Ajou University); Kyung-Won Park, Ji-Hye Choi (ATIK CO., LTD.); Il-Kwon Oh (Ajou University) This study investigates the growth mechanisms and elemental distribution in InZnO (IZO) thin films using atomic layer modulation (ALM), a technique that enhances elemental distribution by sequentially introducing two precursors that react with a single counter reactant, thereby allowing for the formation of a monolayer multicomponent thin film. [1] A key finding is the conversion reaction where In metal transforms into Zn metal during the deposition process, as illustrated in Figure 1(a). The in-situ quadrupole mass spectrometry (QMS) analysis in Figure 1(b) confirms this reaction, showing that after feeding [3-(dimethylamino)propyl]dimethylindium (DADI) and pulsing diethylzinc (DEZ), In is converted into the ethyl ligand-bound In(Et)3, indicating the formation of a zinc-rich film via ALM. Furthermore, the comparative analysis of homogeneous IZO films grown via ALM and laminate structures deposited by the supercycle method highlights the lack of research on how elemental distribution affects channel properties in oxide semiconductors. This comparison is expected to significantly contribute to the field of oxide semiconductor research. Lastly, it is noteworthy that ALM has primarily been studied for dielectric materials, with no prior investigations conducted on oxide semiconductors, marking a novel aspect of this research. References [1]Chi Thang Nguye et al., Chem. Mater., 33, 12, 4435–4444 (2021) View Supplemental Document (pdf) |
AF-MoP-56 Comparative Study on the Impacts of Anhydrous and Hydrous H2O2 on ALD Hafnium Oxide Growth on Titanium Nitride Surface
Dan Le (RASIRC); Jin-Hyun Kim, Thi Thu Huong Chu, Soubhik De, Dushyant Narayan, Minjong Lee (University of Texas at Dallas); Walter Hernandez, Josh Garretson, Adrian Alvarez, Jeffrey Spiegelman (RASIRC); Jiyoung Kim (University of Texas at Dallas) HfO2-based ferroelectrics have emerged as prominent materials for memory applications due to their unique electrical properties, even at the sub-nanometer scale. However, interface-related challenges, such as relaxation, imprint, fatigue, and breakdown, continue to pose significant concerns, especially for ferroelectric films thinner than 5 nm [1]. Previous studies have shown that the choice of oxidant sources during ALD HfO2-based ferroelectrics plays a crucial role in oxide interface formation [2]. Therefore, selecting the appropriate oxygen source or developing effective interface engineering processes is essential to overcoming these interface-related challenges. In our earlier studies, we observed that HfO2-based ferroelectrics achieved using anhydrous H2O2 exhibited a higher growth rate and enhanced electrical properties [3]. However, our in-situ investigation of ALD HfO2 on titanium nitride (TiN) substrate at 250 °C revealed that anhydrous H2O2 saturated the TiN surface with hydroperoxyl groups, leading to the formation of a limited interface, whereas H2O formed little to no interface [2]. A key question remains whether a combination of H2O and H2O2 may help reduce HfO2–TiN interface formation while maintaining the enhanced properties of the HfO2 layer. In this study, we focus on investigating the effects of hydrous H2O2 on interface formation and growth mechanism of ALD HfO2 on TiN substrate. Using in-situ reflectance absorption infrared spectroscopy (RAIRS) and complementary analytical techniques, we aim to gain deeper insights into the underlying phenomena. Throughout the study, alongside TDMA-Hf as the metal precursor, the hydrous H2O2 (4:1 H2O/H2O2 ratio) is delivered via a gas delivery system to ensure a stable and constant oxidant supply. While the IR results reveal some similarities, a distinct difference between anhydrous and hydrous H2O2 was observed, as the peak associated with hydroperoxyl groups was absent in the case of hydrous H2O2. The differences in the available surface species during the hydrous H2O2 pulse may influence the growth of HfO2. In addition to IR analysis, the impacts of hydrous H2O2 on growth characteristics, and material properties, including chemical composition, density, ferroelectricity, etc. will also be carefully evaluated. Detailed experimental procedures and results will be presented. This work is supported by RASIRC. The BRUTE® Peroxide and RASIRC Peroxidizer® are provided by RASIRC Inc. [1] H. Lee et al., ACS Appl. Mater. Interfaces 2021, 13, 36499. [2] J. Kim et al., ALD 2023. [3] Y. C. Jung et al., Appl. Phys. Lett. 2022, 121, 222901. View Supplemental Document (pdf) |
AF-MoP-57 Computation of Al2O3 ALD by Trimethylaluminum with Kinetic Monte Carlo and Neural Network Potential
Yichen Zou, Yuxuan Wu, Jun Yamaguchi, Noboru Sato, Atsuhiro Tsukune, Yukihiro Shimogaki (The University of Tokyo) Atomic Layer Deposition (ALD) is widely utilized in semiconductor manufacturing owing to its method of alternately introducing a precursor and reaction gas, which relies on the surface saturation adsorption of the precursor. This approach ensures excellent uniformity in thickness and controls variations in processing conditions, but is challenged by its growth rate compared with other deposition methods. Investigation into the growth rate of ALD has drawn tremendous attention in the experiments but could be facilitated by emerging computation methods that cut the cost. Traditional computational methods, such as Density Functional Theory (DFT), offer insights into surface adsorption and reactions and are time-intensive when predicting the growth rate per cycle for ALD applications, particularly for large metalorganic compounds. To overcome these challenges, we are leveraging Kinetics Monte Carlo (KMC, an emerging time-scale reaction simulation method) and MatlantisTM (a cutting-edge atomic-level simulator that utilizes neural network potentials) to investigate the adsorption of Trimethylaluminum (TMA) during Al₂O₃ ALD using H₂O as the reactant.KMC is a numerical simulation method based on stochastic processes, which is mainly used to study the dynamic evolution of complex systems. KMC is not only concerned with the equilibrium properties of the system but also directly simulates the time-dependent kinetic behavior, which is suitable for the study of non-equilibrium processes with multiple possible events such as the ALD process. The powerful MatlantisTM calculates energies accurately and efficiently for multiple TMA molecules adsorption and reaction of multiple TMA molecules on the surface, and the energies are introduced into KMC to predict the growth rate of Al2O3 in each cycle. Both the KMC and Matlantis' calculations can be performed at extremely fast speeds, with time spent on a scale of minutes. In the following figures, we successfully predicted the adsorption kinetics of TMA during the TMA supply step, which showed a mass increase of 36 ng/cm2 in approximately 0.3 seconds. Our TMA adsorption and growth simulations show strong agreement with previous DFT calculations and Quartz Crystal Microbalance (QCM) experimental results. In addition, our study revealed that some carbon remained inside the film. The KMC simulations were performed at different temperatures and water pulse times. The results show that both the temperature and water pulse time have important effects on the carbon residue in the film. Low temperature and short water pulse time significantly increased the carbon residue. View Supplemental Document (pdf) |
AF-MoP-58 Comparison of ALD SiN Film Properties Based on Synthesis Precursor, Process Temperature, and Conditions
Jaeyoung Lim, Hanseong Kim, Sunki Min, Kang-sub Yim, Sun Jung Kim (Samsung Electronics Semiconductor R&D center Semiconductor Processing Development) ALD SiN films play a crucial role in semiconductor processes, serving various applications. While different precursors are utilized for ALD SiN synthesis, the halogen-based precursor dichlorosilane (DCS) is widely adopted due to its cost-effectiveness and excellent film properties. However, its relatively low reactivity necessitates high-temperature plasma processing, posing limitations on film quality enhancement. In contrast, diIodosilane (DIS), another halogen-based precursor, exhibits higher reactivity, allowing for low-temperature plasma processing. This study compares the film characteristics of ALD SiN synthesized using DCS and DIS precursors under varying process temperatures and conditions. The findings aim to provide insights into optimizing ALD SiN synthesis methods for high-performance semiconductor logic devices. |
AF-MoP-59 The Application of Diiodosilane to Deposit SiN Film as Insulation Layer
Yun-Chih Chiang, Yong-Jay Lee (Industrial Technology Research Institute) As chip miniaturization advances, the demand for thinner and more uniform films has increased. SiO₂ oxide films tend to emerge leakage current issues under this trend, whereas SiN films offer lower leakage current characteristics, gradually replacing SiO₂ as the insulating layer in MOSFETs. Traditional silicon-based precursors, such as silane or HCDS, perform well in mature process nodes like 20 nm. However, at smaller process nodes, these precursors lead to poor film quality. Switching to a precursor with higher reactivity, such as Diiodosilane (DIS), can improve film characteristics. Due to the lower bond energy of the Si–I bond, DIS enables film deposition at lower process temperatures, resulting in higher-quality SiN films while minimizing unreacted halogen residues. Additionally, DIS contains no carbon and can prevent carbon contamination in the deposited film, while releases less CO2 during ALD process. These advantages make DIS a promising candidate for the usage of next-generation semiconductor processes below 3 nm. In this study, DIS was used as an ALD precursor to deposit SiN films via plasma-enhanced atomic layer deposition (PEALD). In addition to demonstrate the advantages of DIS, we verified the SiN film which deposit by ALD process through ellipsometry, TEM, XPS, and electrical measurements. Furthermore, optimized process conditions were explored to achieve high-quality films, making this approach highly promising for advanced semiconductor devices in sub-3 nm processes. |