ALD/ALE 2022 Session ALE-SuP: Atomic Layer Etching Poster Session

Sunday, June 26, 2022 6:00 PM in Room Arteveldeforum & Pedro de Gante

Sunday Evening

Session Abstract Book
(300KB, May 7, 2022)
Time Period SuP Sessions | Topic ALE Sessions | Time Periods | Topics | ALD/ALE 2022 Schedule

ALE-SuP-1 Anisotropic Atomic Layer Etching of Sn by Formation of Hydride/Chloride
Doo San Kim, Yun Jong Jang, Hong Seong Gil, Geun Young Yeom (Sungkyunkwan University)
Anisotropic ALE studies were conducted on Sn, which is one of the materials with a high extinction coefficient applicable to extreme ultraviolet (EUV) photo-lithography mask, by modifying the Sn surface with H/Cl radicals and followed by the removal of the modified layer by Ar+ ions using an ion beam. During the adsorption of H/Cl radicals, it was possible to form highly volatile SnHxCly and SnClx without spontaneous etching on the Sn surface while the adsorption of H radicals etched the Sn surface by forming volatile SnHx. The chemically modified SnHxCly/SnClx was etched by Ar+ ions at ~2.6 Å/cycle through optimized ALE processes. In addition, high etch selectivity could be obtained with Ru which is generally used as a capping layer of the EUV photo mask. The degree of physical and chemical damages on the Ru surface was investigated during the adsorption and desorption of the Sn ALE process, and no physical and chemical damages could be found on the Ru surface during the Sn ALE process.
ALE-SuP-2 Atomic-Scale Control of a Native Oxide Thickness on Si for Direct Wafer Bonding
Youngseok Lee, Yebin You, Chulhee Cho, Inho Seong, Wonnyoung Jeong, Jinho Lee, Sijun Kim, ShinJae You (Chungnam National University)
Since direct wafer bonding technology has recently been attracting an enormous interest for three-dimensional integration of semiconductor devices, it has been reported that plasma treatment on wafer surfaces has advantages in, for instance, achieving high bonding strengths with low annealing temperature. We empirically found that in Si-SiO2 bonding, a native oxide layer on Si plays an important role and thus a control of the native oxide thickness significantly affects the resulting bonding strength. In our experiments, physical sputtering of Ar plasma ions finely controlled a native oxide thickness of a Si wafer, and the change in the native oxide thickness and the resulting bonding strength with a SiO2 wafer were assessed via ex situ ellipsometry and a double cantilever beam method, respectively. In this presentation, we will discuss the correlation between a native oxide thickness and bonding strengths and the underlying mechanism.
ALE-SuP-3 Atomic Layer Etching of Al2O3 with NF3 Plasma Fluorination and Trimethylaluminum Ligand Exchange
Hyeongwu Lee, Jihyun Kim, Dahee Shim, Yongjae Kim, Heeyeop Chae (Sungkyunkwan University (SKKU))

In this study, a cyclic isotropic plasma atomic layer etching (ALE) process for aluminum oxide was developed with two steps of plasma fluorination and ligand volatilization with trimethylaluminum (TMA). In the plasma fluorination step, the Al2O3 surface was fluorinated to AlOFx with NF3 plasma at 100 °C. As the plasma fluorination time increased, the atomic fraction of fluorine on the surface was increased and then saturated to a value of 25% after 50s of plasma fluorination. The formation of the AlOFx layer was confirmed by X-ray photoelectron spectroscopy analysis. The depths of the fluorinated layers were in the range 0.79–1.14 nm at different plasma powers. In the removal step, the fluorinated layer was removed by a ligand exchange reaction with TMA at an elevated temperature range of 250–480 °C. The etch per cycle (EPC) was 0.20–0.30 nm/cycle and saturated after 30 s in the temperature range of 290–330 °C. EPC increased in the temperature range of 250–300 °C during the removal step with the ligand exchange reaction and reached the maximum at 300 °C. The fluorine atomic fraction on the surface was reduced to 14% after the removal. The average surface roughness of Al2O3 was reduced from 8.6 Å to 5.3 Å after 20 cycles of etching. In conclusion, Al2O3 was successfully etched at the atomic scale by the cyclic plasma ALE process.

ALE-SuP-4 Low-Temperature Plasma Atomic Layer Etching of Titanium Nitride
Heeju Ha, Dahee Shim, Jihyun Kim, Yongjae Kim, Heeyeop Chae (Sungkyunkwan University (SKKU))

Titanium nitride (TiN) has been used as a metal gate electrode from 2D FinFETs to 3D FinFETs due to its proper mid-gap work function, high thermal stability, and excellent adhesion. Metal gates require a low-temperature process to prevent device degradation. Therefore, atomic-scale etching techniques at low temperatures are required for TiN films in 3D structures. In this work, plasma atomic layer etching (ALE) was developed for TiN using 3 steps of plasma oxidation, plasma fluorination, and thermal removal. In the plasma oxidation step, TiN was oxidized to form TiO2 with O2 plasma at 100℃. The TiO2 thickness was saturated with O2 plasma after an exposure time of 300s and saturated thickness increased from 0.29 to 1.23nm with increasing temperature and RF power. In the plasma fluorination step, TiO2 layer was converted to TiOxFy with CF4 plasma at 100℃. The F atomic percentage on the surface was saturated at 12% with RF power below 15W. In the thermal removal step, TiOxFy layer was completely removed above 150℃. The removal rate of TiN ranged from 0.24 to 1.71 nm/cycle by controlling the TiO2 thickness determined earlier. The roughness of TiN surface decreased from 1.27nm to 0.26nm after 50 cycles of ALE process. The suggested TiN ALE process is expected to provide an effective process for atomic-scale three-dimensional structures.

ALE-SuP-5 Prediction of Chemical Evolution and Its Impact on PEALE of Silicon Nitride with Hydrofluorocarbons
Erik Cheng, Gyeong Hwang (University of Texas at Austin); Peter Ventzek, Zhiying Chen, Shyam Sridhar, Alok Ranjan (Tokyo Electron America)
Viable plasma enhanced atomic layer etching (PEALE) of silicon nitride (SiN) by inert ion bombardment of a hydrofluorocarbon (HFC) treated surface has been demonstrated, but the nature of the surface through HFC adsorption and SiN removal is poorly understood. A comprehensive first-principles based simulation investigation of this process suggests Ar+ ion bombardment of an adsorbed HFC is accompanied by damage of the SiN and implantation of small H, F, and C containing fragments into the substrate. The quasi-equilibrium (QE) film structure is predicted to be associated with a significant density of coordination defects, an approximately half Gaussian distribution of H, F, C in the bombardment direction, and a stoichiometry determined by the HFC used. Model QE structures of appropriate structure and composition were then constructed based on the observed evolution of SiN films under simulated PEALD conditions. These structures were bombarded by argon ions to describe volatilization of the film. We find that F is critical for etch enhancement. A transition from etch initiation, through etch with emanation of nonequilibrium products, and then equilibrium products as a function of F load and ion energy is observed. The inhibiting or enhancing role of H and C load relative to F load is also demonstrated. Loading H instead of F is associated with minimal to no etch enhancement, whereas loading C results in the suppression of etch reactions from the formation of highly stable SiC.
ALE-SuP-6 Achieving High Uniformity in Atomic-Scale Etching via a Purgeless Atomic Layer Etching Approach
Yebin You, Youngseok Lee, Chulhee Cho, Inho Seong, Wonnyoung Jeong, Jinho Lee, Sijun Kim, Youngill You, Minsu Choi, Taeyeol Yuk, Shinjae You (Chungnam National University)

Since plasma had been employed to the etch process of semiconductor manufacturing, etch uniformity has been one of the most important issues, and in this atomic scale era, high etch uniformity is of increasing importance. In achieving atomic-scale uniformity, atomic layer etching (ALE) has arisen as a next-generation etch technique due to its self-limiting characteristic. The long processing time of ALE however hinders the wider employment of ALE in the semiconductor industry. In this presentation, we will propose a novel ALE method where no purging step is required and discuss its applicability with the evaluation of its ability to obtain high etch uniformity over a wafer-scale area.

ALE-SuP-8 New Oxidants for Cu ALE via In Situ XAS Mechanistic Study
Adam Hock, Persi Panariti (Illinois Institute of Technology)

Sub-10 nm scaling comes with unprecedented challenges for semiconductor fabrication. Atomic Layer Etching (ALE) is a technique that is becoming increasingly important in semiconductor fabrication, however, it has yet to be widely adopted. Many examples of ALE use harsh chemistry such as halogenated compounds or plasma, which result in damaged and non-conformal structures. Copper is an important interconnect material, so scalable copper ALE is of high importance and further research of both metallic Cu activation and etch are required. Oxidation of metallic Cu surfaces with unselective oxidants (H2O2, O3, oxygen plasma etc.) tend to produce multiple oxidation states of Cu (+1 and +2) as well as Cu mobility at elevated temperatures. This study reports a comparison between conventional oxidants as well as milder, more selective oxidizing agents that produce a more controlled oxidation of Cu surfaces.

X-ray absorption spectroscopy (XAS) was used to directly observe Cu oxidation under oxidation conditions.Temperatures from ambient to 145°C were screened with oxidants and oxidation was found to be to Cu2O to detection limits.The depth was controllable from less than 2nm to complete oxidation under the conditions studied.The oxidation could be controlled by process conditions and, more importantly, by the nature of the oxidation reagent.These data are compared to in situ TEM measurements of Cu particles and measured oxidation thickness as a function of oxidant exposure and found to be comparable.The XAS technique was further benchmarked using conventional XPS measurements.These measurements illustrate that XAS is able to probe surface activation for ALE.In addition, XAS provides metal coordination number, oxidation state, and other mechanistic information about the surface metal state.These measurements combine to provide a better understanding of the relationship between oxidant strength versus depth as a function of various oxidants. These results give insight into the etch-per-cycle as well, Cu surface roughening, and other metrics for the final films.

Session Abstract Book
(300KB, May 7, 2022)
Time Period SuP Sessions | Topic ALE Sessions | Time Periods | Topics | ALD/ALE 2022 Schedule