ALD/ALE 2022 Session AS1-WeA: Area Selective Deposition I

Wednesday, June 29, 2022 1:30 PM in Room Baekeland

Wednesday Afternoon

Session Abstract Book
(292KB, May 7, 2022)
Time Period WeA Sessions | Abstract Timeline | Topic AS Sessions | Time Periods | Topics | ALD/ALE 2022 Schedule

Start Invited? Item
1:30 PM AS1-WeA-1 Polystyrene Brush Deactivation Layers for Area Selective Atomic Layer Deposition
Caitlin McFeely, Matthew Snelgrove , Kyle Shiel, Gregory Hughes (School of Physical Sciences, Dublin City University ); Pravind Yadav, Michael Morris (AMBER Research Centre and School of Chemistry, Trinity College Dublin); Enda McGlynn, Robert O'Connor (School of Physical Sciences, Dublin City University)

Research into the field of area-selective atomic layer deposition (AS-ALD) is key for the development of new methods for the fabrication of modern microelectronics, as current technologies are reaching their limits. Typically, the selectivity originates from modifications that either activate or deactivate the substrate surface. Polymer brushes have been previously shown to act both as an activation or deactivation layer within the field of area selective deposition (ASD) 1,2. These brushes have been widely researched due to their capacity to enable rapid fabrication, making them an industrial relevant route for processing semiconductor devices 3. Here we focus of the use of polystyrene (PS), which is a polymer known for its ability to act as a deactivation layer for the use in ASD.

This work studies the effect that the thickness of a PS brush has on its ability to act as an effective deactivation layer against a thermal HfO2 atomic layer deposition (ALD) process using HfCl4 and H2O as the precursor and co-reactant, respectively. Using X-ray photoelectron spectroscopy as the primary characterisation technique, our results show an increasing blocking efficacy with an increase in the PS brush thickness. The thickest PS brush, of approximately 11 nm, effectively blocked a 300 cycle ALD process which resulted in 19 nm of HfO2 on native oxide covered Si. Due to the significantly faster fabrication times of PS brushes, this process is deemed a highly competitive alternative to the more widely used AS-ALD methodologies such as self-assembled monolayers.

(1) Snelgrove, M.; McFeely, C.; Mani-Gonzalez, P. G.; Lahtonen, K.; Lundy, R.; Hughes, G.; Valden, M.; McGlynn, E.; Yadav, P.; Saari, J.; Morris, M. A.; O’Connor, R. Aluminium Oxide Formation via Atomic Layer Deposition Using a Polymer Brush Mediated Selective Infiltration Approach. Appl. Surf. Sci.2020, 515.

(2) Snelgrove, M.; McFeely, C.; Shiel, K.; Hughes, G.; Yadav, P.; Weiland, C.; Woicik, J. C.; Mani-Gonzalez, P. G.; Lundy, R.; Morris, M. A.; McGlynn, E.; O’Connor, R. Analysing Trimethylaluminum Infiltration into Polymer Brushes Using a Scalable Area Selective Vapor Phase Process. Mater. Adv.2021, 2 (2), 769–781.

(3) Lundy, R.; Yadav, P.; Selkirk, A.; Mullen, E.; Ghoshal, T.; Cummins, C.; Morris, M. A. Optimizing Polymer Brush Coverage To Develop Highly Coherent Sub-5 Nm Oxide Films by Ion Inclusion. Chem. Mater.2019, 31 (22), 9338–9345.

1:45 PM AS1-WeA-2 Area Selective Deposition of Ruthenium using a W Precursor Inhibitor
Chi Thang Nguyen, Ngoc Le Trinh, Mingyu Lee, Han-Bo-Ram Lee (Department of Materials Science and Engineering, Incheon National University)

Atomic layer deposition (ALD) enables the precise control of Angstrom-scale film thickness with excellent conformality due to its self-saturated surface reactions. By maximizing the surface-dependent growth, ALD could be extended to one of the patterning technologies, area selective atomic layer deposition (AS-ASD), over thin film deposition. For AS-ALD, inhibitors, such as self-assembled monolayers (SAMs), have been commonly used to deactivate surface chemical reactivity. In our group, we have proposed another opportunity of precursors, which are originally developed for thin film deposition by ALD, as an inhibitor for AS-ALD. Precursor inhibitors could have several advantages over conventional SAM inhibitors, such as high compatibility, capability for vapor phase delivery, bifunctionality, and relatively small size. In this work, we investigated a Ru ASD process usinga W precursor inhibitor which was developed for W ALD. Interestingly, it was observed that surface energy measured by water contact angle analysis was deceased with increasing exposure time which is an opposite trend to the results in ASD research. To understand the change, the adsorption energy and behavior of the W precursor inhibitor were studied by using density functional theory (DFT) calculation. A single exposure of the W precursor inhibitor layer could block the growth of Ru ALD up to 200 cycles with selectivity over 90 %.The absorption density of the W inhibitor was improved by using multi-exposure instead of continuous exposure. The results from the physical interaction simulation of the W inhibitor by Monte Carlo (MC) simulation show that the packing density of the inhibitor could be further increased by minimizing steric hindrance effects during adsorption. As a result, the blocking property of the W precursor inhibitor was improved, blocking up to 300 Ru ALD cycles.

2:00 PM AS1-WeA-3 Electron-beam Functional Group Pattering on HOPG for Area-Selective Atomic Layer Deposition
Matthias Young, Gordon Koerner, Quinton Wyatt (University of Missouri); Brady Bateman (Berea College); Camden Boyle, Matthew Maschmann (University of Missouri)

In this work we report on a new area selective atomic layer deposition (AS-ALD) approach enabled by a spatially controlled hydroxylation process. The processing occurs within a low-pressure water vapor ambient established within an environmental scanning electron microscope (ESEM). The ESEM electron beam interacts with the water vapor and generates a local region of reactive species (e.g. hydroxyl radicals) in the vicinity of the focused electron beam. Here, we functionalize exfoliated highly ordered pyrolytic graphite (HOPG) substrates which are natively nonreactive to the ALD precursors. The electron-beam patterning process introduces reactive hydroxyls on the graphene substrate. The hydroxylated region is sufficiently stable to withstand ALD deposition temperature of 150 oC, and the pattern fidelity is enabling for dense selective ALD growth in the patterned area.

In the current study, hydroxyl functionalization and ALD deposition occurs along line scans and square regions of up to 2 x 2 micron in area. We show that the hydroxyl functionalization, and thus ALD deposition efficacy, is highly dependent on ambient water vapor pressure and electron beam dwell time. The hydroxyl functionalization and resulting ALD coating is characterized using atomic force microscopy and energy dispersive spectroscopy (EDS) mapping. Line widths as small as 40 nm and growth/no growth selectivity in excess of 99% are demonstrated.

2:15 PM AS1-WeA-4 Inhibitor Adsorption During Area-Selective ALD: Do Mixtures of Adsorption Configurations Lead to a Loss of Selectivity?
Marc Merkx, Ilker Tezsevin, Pengmei Yu, Jun Li, Rik Lengers, Erwin Kessels (Eindhoven University of Technology); Tania Sandoval (Universidad Técnica Federico Santa Mariá); Adriaan Mackus (Eindhoven University of Technology)

Small molecule inhibitors (SMIs) are attracting interest in the field of area-selective atomic layer deposition (ALD) because of their straightforward integration into industrial process flows. However, one of the challenges is that SMIs typically adsorb in a mixture of adsorption configurations, which often are not all suited for precursor blocking. In this work, we compare two inhibitors, aniline and acetylacetone (Hacac), and study whether the different adsorption configurations can be a curse or a blessing for obtaining a high selectivity.

Aniline provides metal/dielectric selectivity (e.g. Ru versus SiO2)[1] for area-selective ALD. Density functional theory (DFT) calculations show that aniline adsorbs either with the amine group to the surface through a δ-bond or with the phenyl ring through a π-bond. Although the δ-configuration is less strongly bonded to the Ru than the π-configuration, both are bonded sufficiently strong, resulting in a stable inhibition layer that is inert toward incoming precursor molecules. Random sequential adsorption (RSA) simulations were used to predict the coverage and packing of SMIs in saturation.[2] These simulations show that a significant fraction (~30%) of the aniline adsorbs in the δ-configuration, thereby enhancing the inhibitor coverage. In addition, the simulations predict that there are no gaps that are large enough to act as nucleation sites for precursor adsorption.

Hacac can be employed to achieve selectivity between different oxide surfaces (e.g. Al2O3 and SiO2).[3] Infrared (IR) spectroscopy and DFT calculations show that Hacac adsorbs either in chelate or monodentate configuration, where both or only one of the O atoms bonds to the surface, respectively. The monodentate configuration was found to desorb due to its lower binding energy to the surface. In addition, interactions with the precursor through its unreacted C-OH/C=O group lead to displacement of the Hacac inhibitor molecules from the surface and therefore loss of selectivity. IR spectroscopy and RSA simulations show that this configuration makes up ~20% of the adsorbed Hacac in saturation, while it does not contribute to precursor blocking.

In summary, having a mixture of inhibitor adsorption configurations leads to a loss of selectivity for Hacac, while it improves precursor blocking for aniline. A mixture of configurations can therefore be beneficial or detrimental for the selectivity depending on the binding energy and orientation of each bonding configuration involved.

[1] Merkx et al., Chem. Matter32, 7788 (2020).

[2] Evans, Rev. Mod. Phys.65, 1281 (1993)

[3] Merkx et al., Chem. Matter. 32, 3335 (2020).

View Supplemental Document (pdf)
2:30 PM AS1-WeA-5 Area Selective Deposition for ZnO Hard Mask by 2D-like Carbon fabricated by Molecular Layer Deposition
Seunghwan Lee, GeonHo Baek, Hae-Lin Yang (Hanyang University, Korea); Tran Thi Ngoc Van, Bonggeun Shong (Hongik University); Jin-Seong Park (Hanyang University, Korea)

Area selective deposition (ASD) is a promising technique as a bottom-up process for creating improved overlay or self-alignment, attaining errorless alignment, increasing yield, and reducing cost of manufacturing. The selectively grown metal or metal oxide can be employed as a robust hard mask. For bottom-up process, area selective atomic layer deposition has been researched vigorous using surface chemistry. In this research, a strategy for ASD using molecular layer deposition (MLD) is introduced, which is useful for conformal deposition of organic layer that delays film growth.

An indicone layer, which has alkoxide sturcutre, was fabricated by MLD process using INCA-1 (bis(trimethysily)-amidodiethylindium) and HQ (hydroquinone), and was thermally annealed. The atomic structures of as-dep and annealed indicone films were analyzed by XPS and Raman spectra. The indium was almost completely removed with annealing process, and carbon structure was transformed to graphitic carbon above 450 oC annealing temperature. The thermally annealed indicone was used as an inhibitor, which can delay 60 cycles of ZnO (equivalent to a thickness of about 11nm). In addition, to prove chemical mechanism of precursor adsorption on graphitic carbon, density functional theory calculations were utilized. Finally, ALD ZnO was selectively deposited on Al2O3/SiO2 line pattern for interconnecting SiO2 line pattern by transferring hard mask using RIE. View Supplemental Document (pdf)
2:45 PM AS1-WeA-6 Bifunctionality of Si Precursors to Enable Area Selective Deposition of Ru and Atomic Layer Deposition of SiO2
Sumaira Yasmeen, Bonwook Gu, Youngho Kang, Han-Bo-Ram Lee (Incheon National University)

Area selective deposition (ASD) has enabled the growth of materials on the target areas of patterned substrates to address the existing roadblocks in the semiconductor industry. ASD is very crucial in the current era as it has shown many possible ways to enable the down-scaling of electronic devices with process simplification. Several different approaches have been used for ASD including the use of self-assembled monolayers (SAMs) and small molecule inhibitors (SMIs). However, due to the associated disadvantages like the long-chain size of SAMs, poor selectivity, and thermal degradability of SMIs, researchers have diverted their attention to the use of precursors as inhibitors. The main advantage of using precursor inhibitors is to cultivate their bifunctionality as an inhibitor for ASD and a precursor for atomic layer deposition (ALD). In other words, they can be used as precursors for specific ALDs when used with a proper counter reactant, as well as can be used as inhibitors as they do not react with the mild counter reactants. In this work, we investigated two different Si precursor inhibitors which can form SiO2 ALD using ozone counter reactant, and also due to their chemoselectivity, they inhibit the surface towards several ALDs which require H2O or O2 as a counter reactant. The Si precursor inhibitors selectively adsorb on the SiO2 surface but not on Cu, so in this way, Ru can be selectively deposited on the Cu surface. Furthermore, when used ozone as a counter reactant, it forms ALD SiO2. Experimental analysis and electrical measurements confirmed the formation of high-quality SiO2 film using both Si precursor inhibitors. Dielectric constant, leakage current, and O/Si stoichiometric ratio of ALD SiO2 from both Si precursor inhibitors were found to be consistent with conventional SiO2 ALD film. The adsorption chemistry of the Si precursor inhibitors and Ru blocking were investigated using theoretical density functional theory calculation, Monte Carlo simulations, and experimental approaches. Understanding the precursors' chemistry and physical and chemical interactions can open doors for many other precursor molecules to be used as inhibitors for the next generation nanofabrication.

3:00 PM AS1-WeA-7 TiO2 Area-Selective Deposition: Using Selectivity Loss Mechanisms to Advance Applications in Nanopatterns and EUV Resist Materials
Rachel Nye (North Carolina State University); Kaat Van Dongen (KU Leuven); Danilo De Simone, Jean-Francois de Marneffe, Hironori Oka (IMEC, Belgium); Gregory Parsons (North Carolina State University); Annelies Delabie (IMEC, Belgium)

Area-selective deposition (ASD) is rapidly gaining interest as a bottom-up nanopatterning technique in semiconductor manufacturing to facilitate shrinking device sizes that traditionally rely on expensive and complex lithography steps. One key feature of ASD that has not been well established in literature is the amenability of processes to feature scales relevant to electronic devices (i.e. sub-50 nm patterns).1 Additionally, there is growing industrial interest to expand ASD applications to new fields such as EUV lithography, where ASD of etch resistant layers could improve pattern resolution and reduce line-edge roughness (LER).2

In this work, we present a study of TiO2 ASD that addresses both challenges: demonstrating successful ASD on 45 nm half-pitch patterns and on EUV resist materials. First, the selectivity loss mechanism of TiO2 ALD (TiCl4/H2O at 150 oC) on dimethylamino-trimethylsilane (DMA-TMS) passivated SiO2 is investigated. Scanning electron microscopy, Rutherford backscattering spectrometry (RBS), and kinetic modeling results demonstrate nucleation site generation during TiO2 as the primary contributor towards selectivity loss on the TMS non-growth surface. These undesired nucleation sites are effectively mitigated with periodic etching and subsequent re-passivation of the surface, resulting in significant selectivity improvement on the growth surface (TiN) with minimal defectivity on the non-growth surface (passivated SiO2), according to transmission electron microscopy (TEM) images (Fig. 1). The DMA-TMS inhibitor is perfectly suited for this cyclical ASD process as it passivates SiO2 without affecting TiO2. Furthermore, we discuss the feature size-dependence of selectivity. As a next step, we explore TiO2 ASD on methacrylate-based EUV resist materials on the basis of EUV exposure and protecting group using RBS measurements (Fig. 2). We provide insight into selectivity loss mechanisms on the EUV resist materials and utilize this information to tune the polymer structure to induce selectivity to TiO2 ALD for use in resist hardening or tone inversion applications.

In summary, we take a considerable step in the advancement of TiO2 ASD using mechanistic insights to improve selectivity in both nanopatterns and EUV resists. The impact of these results may be used to advance progress of feature-scale ASD research as well as catalyze ASD applications to improve pattern resolution and LER on ultra-small EUV lithography patterns.

(1) Parsons, G. N.; Clark, R. D. Chem. Mater. 2020, 32 (12), 4920–4953.

(2) Wu, B.; Kumar, A. J. Vac. Sci. Technol. B. 2007, 25 (6), 1743.

View Supplemental Document (pdf)
3:30 PM Break
Session Abstract Book
(292KB, May 7, 2022)
Time Period WeA Sessions | Abstract Timeline | Topic AS Sessions | Time Periods | Topics | ALD/ALE 2022 Schedule