ALD/ALE 2022 Session ALE2-MoA: Plasma and/or Anisotropic ALE I

Monday, June 27, 2022 3:30 PM in Room Baekeland

Monday Afternoon

Session Abstract Book
(285KB, May 7, 2022)
Time Period MoA Sessions | Abstract Timeline | Topic ALE Sessions | Time Periods | Topics | ALD/ALE 2022 Schedule

Start Invited? Item
3:30 PM Break & Exhibits
4:00 PM ALE2-MoA-11 From Barrel to ALE: A Lifetime in Etch/A Material and System Design Perspective
Mike Cooke (Oxford Instruments )

Plasma etching has accompanied advances in lithography for decades, both necessary to enable higher component density in semiconductor device manufacture. A low-pressure glow discharge can provide both chemically active radicals and directional energetic ion bombardment to a surface, driving chemistry which would otherwise require high temperatures. We will describe the principal formats of plasma etch tool, and their merits for delivering atomic layer etching.

Plasma etching equipment of all formats share some common concerns: avoiding etching the chamber or contaminating the wafer; suppression of unwanted parasitic plasmas; maintaining cleanliness; delivering a uniform process across the substrate and reliably from substrate to substrate; and safe operation. The talk will comment on lessons learned in working with plasma tools for more than 40 years, and on the prospects for evolution of the techniques.

4:30 PM ALE2-MoA-13 Understanding the Self-limiting Behavior in Atomic Layer Etched HfO2
Souvik Kundu, Tom Schram, Frédéric Lazzarino, Jean-Francois de Marneffe, Philippe Bezard, Stefan Decoster, Inge Asselberghs (IMEC, Belgium)

For future generation transistor applications, two-dimensional (2D) channel materials have received significant research attention due to their favorable electronic properties. In aggressively scaled devices, selectively removing the top high-k layer from the 2D channel material is not possible by the conventional continuous plasma etching (CE) mainly due to poor etch selectivity between 2D and high-k materials, which leads to unwanted roughness and snap the channel layer below. In this regard, an alternative etching technique is required where the process variability can be controlled precisely, and the preferred layer can be removed using self-limiting reactions without punching through the layer below. The atomic layer etching (ALE) of HfO2 with BCl3/Cl2 radical adsorption followed by low energy Ar+ desorption was investigated to soft-land on its underneath 2D material for Source/Drain top contacts formation. Since low ion energies are indispensable to minimize the plasma damage on 2D material, synchronously pulsed (SP) ALE was adopted where the plasma and bias powers were varied synchronously with a 30% duty cycle. The adsorption and desorption times were identified and an ALE window with 0.16 nm etch rate per cycle (EPC), low surface roughness (post-etch), and more than 90% synergy were obtained. Efforts were consecrated to understand the ALE mechanism and the effects of plasma power, bias power, and gas ratio on EPC, and synergy were further studied to understand their roles on ALE window. X-ray photoelectron spectroscopy (XPS), transmission electron microscope (TEM), and atomic force microscope (AFM) were employed to characterize the post-etch HfO2 surface and interfaces. To realize the efficacy of SP ALE, 10nm HfO2 was etched from the 10 nm HfO2/capped seed layer/3mono-layers WS2/2 µm SIO2/Si stacks, where the WS2 layer is exposed and the signature of non-etched WS2 layer was confirmed by the results obtained from Raman spectroscopy, XPS, and AFM. In contrast to SP ALE, when a CE process is concerned, it was ascertained that the WS2 layer is completely ruptured during the HfO2 etch and eventually lands on the SiO2 layer below. The challenges of SP ALE and transferring the process on patterned wafers will also be discussed.

4:45 PM ALE2-MoA-14 Area-Selective Atomic Layer Etching of SiO2 Using Silane Coupling Agent
Airah Osonio, Takayoshi Tsutsumi (Nagoya University); Bablu Mukherjee, Ranjit Borude (ASM International); Nobuyoshi Kobayashi, Masaru Hori (Nagoya University)

An inherently area-selective atomic layer etching (ALE) process for silicon dioxide (SiO2) over silicon nitride (SiN) is demonstrated in this study with the use of 1H, 1H, 2H, 2H perfluorooctyltrichlorosilane (POCS), a silane coupling agent. The area-selective adsorption of the chosen silane precursor is leveraged to achieve a substrate-dependent selectivity without the need for surface inhibitors at low substrate temperature (50ºC). A 100 MHz CCP plasma was used for the PE-ALE process. POCS was introduced in gas phase to the vacuum chamber, where the substrate and blanket samples were heated to 50ºC. Low energy Ar+ bombardment without a bias power followed to remove the modified layer. The changes on the samples’ thickness were monitored real-time using in-situ spectroscopic ellipsometry (SE) while the changes in the surface functional groups were investigated using in-situ FTIR spectroscopy.

Using in-situ SE, the area-selective chemisorption of the POCS on SiO2 over SiN was confirmed from the increase in thickness after dosing which, at the same time, exhibits self-limiting characteristics. In-situ FTIR studies confirmed the functionalization of SiO2 with the CF2 and CF3 functional groups and the simultaneous removal of the free OH on the surface during the modification step. After the Ar plasma exposure for the etching step, the removal of the CFx bonds and the increase in the absorbance of the free -OH peak were found, related to the consumption of the modified layer. Overall, the work shows an alternative course to realize an ideal ALE process that has both self-limiting modification and etching steps. It attempts to address the challenges of stringent parametric control on existing area-selective ALE processes for SiO2 using other fluorocarbon chemistries.

View Supplemental Document (pdf)
5:00 PM ALE2-MoA-15 Improving SiO2 toSiNx ALE Selectivity with Surface Pre-functionalization for SiO2/SiNx Stacks
Xue Wang (Colorado School of MInes); Ryan J. Gasvoda, Prabhat Kumar, Eric A. Hudson (Lam Research Corporation); Sumit Agarwal (Colorado School of Mines)

The downscaling of semiconductor devices to sub-7nm generation will require increasingly high etching selectivity with atomic-scale control over the etch profiles in high aspect ratio device structures. Plasma-assisted atomic layer etching (ALE) is a promising technique to tackle these challenges. We previously demonstrated that in ALE, selectivity for SiO2 over SiNx can be achieved through the selective pre-functionalization of the SiNx surface with aldehydes prior to etching. Etching was performed using a cyclic C4F6/Ar and Ar plasma ALE process. We used in situ attenuated total reflection Fourier transform infrared spectroscopy to monitor the changes in the surface chemical composition on SiNx and SiO2. Pre-functionalization of a pristine, plasma-deposited SiNx surface with benzaldehyde accelerated the formation of a graphitic hydrofluorocarbon layer, which in turn lowered the initial loss of SiNx prior to an etch stop. However, in technological applications, both SiNx and SiO2 surfaces are either exposed to atmosphere or are used as stacks where the underlying SiNx surface is already exposed to an etching plasma before it can be dosed with the hydrocarbon (see Fig.1a). An atmosphere-exposed SiNx surface forms a skin layer of SiOxNy, and an SiNx surface exposed to an etching plasma has a layer of residual CFx. In each case, we discovered that the surface –NHx groups present after plasma deposition are partially to largely removed. While benzaldehyde does not react with SiOxNy and SiO2 surfaces, it readily reacts with the CFx reside on the plasma etched SiNx and SiO2 surfaces, which prevents selective reaction of aldehydes with the SiNx surface.

In this presentation, we will also show that on partially etched SiNx and SiO2 surfaces, benzaldehyde can be attached selectively to the SiNx surface after one ALE cycle by minimizing the CFx residue on the SiO2 surface. Thus, on the SiNx surface, we utilize the reactivity of the surface –NHx groups and the residual CFx layer to obtain selectivity for reaction to benzaldehyde (see Fig.1b). Our results also show that the selectivity of benzaldehyde attachment reduced with the slow accumulation of carbon-rich residue on both surfaces during ALE process. For SiNx and SiO2 surfaces that were pre-etched for one ALE cycle, using 4-wavelength in situ ellipsometry, we show that benzaldehyde dosing reduced the amount of SiNx etched and increased the etch selectivity from 1.7 to 2.1 for 20 ALE cycles (see Figure 2). If we introduced a second dose for benzaldehyde after the 5th ALE cycle, which is prior to significant CFx accumulation on SiO2, the selectivity could be further improved from 2.1 to 3.4.

View Supplemental Document (pdf)
5:15 PM ALE2-MoA-16 Plasma-Assisted Atomic Layer Etching of Silicon Nitride with Unfragmented Fluorocarbons
Chon Hei Lam, Megan Carruth (University of Texas at Austin); Zhiying Chen, Joel Blakeney, Peter Ventzek, Shyam Sridhar, Alok Ranjan (Tokyo Electron America Inc.,); John Ekerdt (University of Texas at Austin)

The self-limiting behavior in atomic layer etching (ALE) processes promise to deliver atomic scale fidelity for three-dimensional device fabrication. ALE processes typically alternate cycles of chemical modification to weaken the surface bonds followed by ion bombardment to remove material with limited amount. ALE may provide fine control over the etch rate and can limit physical damage to the substrate through the layer-by-layer etch process. Since silicon nitride films are a component used in self-aligned multiple patterning schemes, ALE of silicon nitride is an important consideration in process development. ALE using plasma fragmented fluorocarbons can result in undesirable film growth. Ideally, the process should restrict fluorocarbon film build up to avoid taper profiling, clogging or etch stop. We explore ALE of silicon nitride by utilizing undissociated fluorocarbon (CF4 and CHF3) adsorption followed by gentle argon ion bombardment. The impact of gas precursors, energetic ion, temperature, and the nature of the surface chemical modification are discussed. We follow the surface chemistry and monitor structural damage during ALE.

Using CHF3 we illustrate fluorocarbon surface modification and using energetic argon ion bombardment we illustrate changes to the adsorbed layer during bombardment. The ALE steps are performed at 24 °C and 100 °C (Figure 1). The films are characterized by in situ using X-ray photoelectron spectroscopy and spectral ellipsometry. The silicon nitride film is exposed to CHF3 at 30 mTorr for 1 min as the fluorocarbon adsorption step followed by an argon ion bombardment. The F 1s peak appears after the (3 min, 100 eV) argon ion bombardment at 24 °C. The energetic argon ions fragment the fluorocarbon and activate the interaction between fluorine and silicon, and lead to the formation of SiFx (Fig 2a). When the sample temperature is elevated to 100 °C, the SiFx shoulder appears in the Si 2p spectra upon the CHF3 adsorption step (Fig 2b) demonstrating the reaction between CHF3 and silicon nitride is thermally activated. An SiFx feature remains in the Si 2p spectra after the ion bombardment. The shoulder from SiFx is more intense at 100 °C compared to the 24 °C. The ellipsometry result (Fig 1) shows a gradual change of etch rate over the first 5 ALE cycles as the starting surface is partially oxidized and transitions to silicon nitride. After the removal of the oxidized layer, the etch rate increases and corresponds to approximately 1 nm/cycle. The F 1s peak intensity remains at the same level after 5 and 10 ALE cycles indicating the residual fluorine on the surface after ion bombardment.

View Supplemental Document (pdf)
5:30 PM ALE2-MoA-17 Silicon Atomic Layer Etching with Surface Chlorination and Removal with Ar or He Plasma
Namgun Kim (Sungkyunkwan University); Dongjun Shin, Jongkyu Kim, Chanmin Lee, Kukhan Yoon (Samsung Electronics); Yongjae Kim, Heeyeop Chae (Sungkyunkwan University)

Si atomic layer etching (ALE) has attracted a lot of attention in the semiconductor manufacturing industry due to its excellent depth uniformity with precise control and damage-less compared to conventional reactive ion etching (RIE). [1] Si ALE is generally consisted of two steps, Cl2 adsorption and Ar desorption. The desorption step is the key process of transferring energy to the modified surface and is a major step that determines selectivity and uniformity. [2] Ar was mainly used as the sputtering gas for the desorption step, and there were few studies on other inert gases. In previous papers, the ALE window range was enlarged and the etch per cycle (EPC) was lowered when He was used as sputtering gas instead of Ar, but the recent GaN He ALE study showed different results. [3][4] In this work, Cl2 based Si ALE process was performed using He or Ar as sputtering gas in an 300mm inductively coupled plasma (ICP) reactor. The range of the ALE window did not change to 25V in either condition, the EPC increased from 5.96 Å/cycle to 7.02 Å/cycle, and a nonself-limited behavior was observed when He was used as the sputtering gas instead of Ar. The oxygen atomic fraction was increased in He ALE compared to Ar ALE when an x-ray photoelectron spectroscope (XPS) was observed by changing the number of cycles and bias power. Both ALE processes were compared with conventional RIE by measuring selectivity and roughness. In conclusion, Si quasi-ALE was successfully performed using Ar or He as sputtering gas with Cl2 plasma in the conventional plasma etching tool, and the difference in ALE performance according to the sputtering gas was also investigated.

References

[1] K. J. Kanarik, T. Lill, E. A. Hudson, S. Sriraman, S. Tan, J. Marks, V. Vahedi, and R. A. Gottscho, J. Vac. Sci. Technol. A 33, 020802 (2015)
[2] G. S. Oehrlein, D. Metzler, and C. Li, ECS J. Solid State Sci. Technol. 4 N5041 (2015)
[3] H. J. Yun, T. H. Kim, C. B. Shin, C.-K. Kim, J.-H. Min, and S. H. Moon, Korean J. Chem. Eng. 24, 670 (2007)
[4] S. Ruel, P. Pimenta-Barros, F. L. Roux, N. Chauvet, M. Massardier, P. Thoueille, S. Tan, D. Shin, F. Gaucher, and N. Posseme, J. Vac. Sci. Technol. A 39, 022601 (2021)

Session Abstract Book
(285KB, May 7, 2022)
Time Period MoA Sessions | Abstract Timeline | Topic ALE Sessions | Time Periods | Topics | ALD/ALE 2022 Schedule