ALD/ALE 2021 Session AA17: ALD Applications Poster Session

On Demand

Session Abstract Book
(386KB, Jun 9, 2021)
Time Period OnDemand Sessions | Topic AA Sessions | Time Periods | Topics | ALD/ALE 2021 Schedule

AA17-1 Atomic Layer Deposition of AlN Films With and Without Plasma. Piezoelectric Effect and Magnetoelectric Coupling With Nickel
Noureddine Adjeroud (Luxembourg Institute of Science and Technology (LIST))

Aluminum nitride (AlN) thin films have attracted significant attention for optoelectronics, piezoelectrics-based devices as surface-acoustic-wave resonators thanks to its excellent properties such as wide band gap of 6.2 eV, piezoelectricity along c-axis and high phase velocities of acoustic waves. Those applications, however, require the control of the c-axis (002) crystalline orientation of AlN in order to achieve the highest piezoelectric effect and surface acoustic velocity. Many efforts have been devoted to grow (002)-oriented AlN films. For instance, (002) oriented AlN film can be synthesized by pulse laser deposition, chemical vapor deposition, plasma assisted physical vapor deposition and plasma enhanced atomic layer deposition (PEALD). Nevertheless, those techniques require either elevated temperatures of substrate from 300 to 1000 oC and/or long period of plasma treatment during each cycle. In this study by carefully adjusting parameters of PEALD we were able to tailor preferred orientations of AlN thin films from a preferred (100) orientation to the (002) orientation at low substrate temperature from 180°C to 250 oC. In addition, X-ray photoelectric spectrometry surveys confirmed high quality AlN films with low impurities level of 1% of carbon and 6-7% of oxygen, which are comparable to the literatures. The direct piezoelectric coefficient e31,f measurements (4-point bending method, aixACCT), which were rarely reported on AlN films grown by PEALD, revealed a strong correlation between crystallographic orientations and e31,f value of AlN films; i.e. e31,f coefficient of (100) AlN film was almost “zero”, whereas that of (002) preferred orientation film was measured to 0.38 C.m-2. In addition, we synthesized 2-2 magnetoelectric composites by depositing (002) oriented AlN film of 500 nm thickness on nickel foil with an excellent interface coupling by the highly conformal coating of ALD technique. The low temperature growth of AlN films allowed to unconcern in degradation of magnetic properties of the nickel magnetostrictive film.. The resulting magnetoelectric coefficient was about 3.5 V.cm-1.Oe-1 at 46 Hz. Though, AlN film is grown at temperature as low as 250 °C, the properties of the AlN film and its magnetoelastic coupling are utmost promising for energy harvesting and sensing applications on silicon-based as well as flexible-organic-substrate-based micro-electro-mechanic-system (MEMS) devices.

AA17-2 ALD SnO P-Type Thin Film Transistors and the Influence of Post Fabrication Annealing Conditions on Device Performance
Benjamin Peek (Pegasus Chemicals); Paul Chalker, Matthew Werner (The University of Liverpool); Paul Williams (Pegasus Chemicals); Feras Alkhalil, Satyajit Das (PragmatIC Semiconductor)

Flexible oxide electronics are integral to the proliferation of the Internet-of-Things (IoT). Currently, the state-of-the-art is predominantly based on unipolar n-type devices (NMOS). A complementary (CMOS) logic capability would greatly expand the range of low-cost flexible electronics, because the static power consumption is much lower than in unipolar based technology. Tin monoxide (SnO) is a candidate for p-type thin film transistors (TFTs) that could be matched to existing NMOS technology.Previously, p-type SnO films and TFTs have been fabricated by ALD (Atomic Layer Deposition) using bis(1-dimethylamino-2-methyl-2-propoxy) tin(II) (Sn(dmamp)2) and H2O vapour [1]. This precursor is synthesised, via an intermediate, namely bis(bis(trimethylsilyl)amide) Sn(II), which has also been used to deposit SnO with water [2]. Here we report the use of a precursor from Pegasus Chemicals, to deposit the channel of SnO-based p-type TFTs via ALD. The liquid precursor has been used to conformally deposit SnO thin films at 150°C with water vapour, onto 200mm wafers as shown in Fig. 1. An X-ray diffraction pattern of an SnO film deposited using 4000 ALD cycles is shown in Fig. 2. The dominant diffraction peaks are from the <001> and <002> planes of α-SnO, indicating the growth has a <001> preferred texture.P-type TFTs were fabricated at PragmatIC Semiconductor based on silicon (p++) back-gated structure, as illustrated in Fig. 3, which shows the TFT characteristics of this device. The α-SnO channel of which was deposited in 500 ALD cycles. Before the patterning of SnO, the wafer exhibited a sheet resistance of Rsh 1.6 MΩ/sq . The TFT characteristics were measured: as-fabricated and after annealing in air (200° C, 1 hr), vacuum (190° C, 1 hr), nitrogen (200° C, 1 hr) and forming gas (350° C, 30 mins). Air annealing (blue) has little effect on the as-fabricated TFTs (black). The vacuum anneal (red) and nitrogen anneal (green) show a similar effect on the device performance, resulting in a marginal decrease in the on and off-current. Forming gas (H2/N2) annealing (FGA) leads to an enhanced on/off ratio, in addition to a negative shift in Von towards 0 V. Potential mechanisms responsible for the effect of different annealing processes on the TFT characteristics will be discussed .The work demonstrates the feasibility of using ALD-deposited tin monoxide to fabricate p-type TFTs at temperatures compatible with flexible oxide electronics manufacturing processes.[1] Jeong Hwan Han et. al, Chem. Mater. 2014, 26, 6088−6091. [2] Jere Tupalaa et. al. Journal of Vacuum Science & Technology 2017, A35, 041506.

View Supplemental Document (pdf)
AA17-3 Low-Temperature Plasma-Enhanced Atomic Layer Deposition of Indium Oxide
Ali Mahmoodinezhad, Carlos Morales (Brandenburg University of Technology Cottbus–Senftenberg); Franziska Naumann, Paul Plate, Robert Meyer (SENTECH Instruments GmbH); Christoph Janowitz, Karsten Henkel, Małgorzata Kot, Jan Ingo Flege (Brandenburg University of Technology Cottbus–Senftenberg)

Indium oxide (InxOy) thin films were successfully grown by plasma-enhanced atomic layer deposition (PEALD) using trimethylindium (TMIn) and oxygen plasma (O2) at low temperatures of 80 to 200 °C. The films were investigated by spectroscopic ellipsometry (SE), X-ray photoelectron spectroscopy (XPS), and electrical measurements. The in-situ SE data confirmed a self-saturated growth mechanism with a growth rate of 0.56 Å per cycle within the ALD window (100 to 150 °C) resulting in a well-defined film thickness with an excellent homogeneity of ≥ 98.8% across 4 inch substrates. We found that the refractive index of the layers increases from 2.04 at 80 °C to 2.07 at 150 °C, and it abruptly decreased to 2.02 at 200 °C. Besides, the InxOy layers show indirect and direct transitions in the optical band gap with values of 2.8 ± 0.1 eV and 3.3 ± 0.2 eV, respectively. According to XPS, the PEALD-InxOy thin films are free of carbon below the surface; also, they exhibit a temperature-dependent indium-rich off-stoichiometry that increases with temperature. Correspondingly, at temperatures ≥ 150 °C, the electrical conductivity of the layers is higher. In addition, a detailed XPS analysis revealed the presence of hydroxyl groups and defect states whose concentrations decrease with rising deposition temperature. Based on the obtained results, we deem our indium oxide thin films to be suitable for high-performance optoelectronic and photovoltaic devices.

AA17-4 High-Reliable Atomic Layer Deposited N-doped GeSe and Its Leaky-Integrate-and-Fire Neuron Application
Woohyun Kim, Manick Ha, Chanyoung Yoo, Jeong Woo Jeon, Wonho Choi, Byongwoo Park, Gil Seop Kim, Kyung Seok Woo, Jihun Kim, Yoon Ho Jang, Eui-Sang Park (Seoul National University); Yoon Kyeung Lee (Jeonbuk National University); Cheol Seong Hwang (Seoul National University)

This study presents the atomic layer deposition (ALD) of N-doped GeSe and its neuromorphic application for leaky-integrate-and-fire (LIF) neuron. The ALD process utilized GeIIN(CH3)2[(NiPr)2CN(CH3)2] and [(CH3)3Si]2Se with an NH3 co-reagent as Ge- and Se-precursors, respectively. The N-doped GeSe was not deposited by the conventional ALD sequence (Ge-precursor pulse – purge – Se-precursor pulse – purge) without the NH3 gas. The NH3 gas changes the surface terminated with [(NiPr)2CN(CH3)2] to NH2 group for facilitating ligand exchange reaction with [(CH3)3Si]2Se.

The GeIIN(CH3)2[(NiPr)2CN(CH3)2] is stable even at high temperatures (~ 190 ℃) due to the chelating of the ligand, and thus, the ALD window can be extended to high temperatures (Fig. 1(a)). Therefore, this ALD method could be performed at the substrate temperature of 150 ℃, which is significantly higher compared with the previous experiment using HGeCl3 precursor (70 ℃). The ALD process resulted in high-density GeSe thin film deposition with N-incorporation (Fig. 1(b), (c)). In the case of GeSe, the doping of light elements like nitrogen improves amorphous stability, leading to better reliability of Ovonic threshold switching devices. As a result, high crystallization temperature and excellent cycling endurance of GeSe were achieved due to the N-doping effect and high-density thin films by adopting the high deposition temperature (Fig. 1(d)).

Furthermore, the GeSe-based LIF neuron's behavior is verified by the measurement of the electrical waveform using a pulse generator (Fig. 2), and the detailed analysis will be discussed in the presentation.

View Supplemental Document (pdf)
AA17-5 Zero Temperature Coefficient of Resistance in Back-End-of-the-Line - Compatible Titanium-Aluminum Nitride Nanolaminates Grown by Plasma Enhanced Atomic Layer Deposition
Igor Krylov (Tower Semiconductor Ltd.); Yuanshen Qi, Valentina Korchnoy, Kamira Weinfeld, Moshe Eizenberg, Eilam Yalon (Technion–Israel Institute of Technology)
Thin film resistors with near-zero temperature coefficient of resistance (TCR) are key passive elements in analog integrated circuits. We report here that near-zero TCR resistors can be obtained by atomic layer deposition (ALD) of TiN-AlN nano-laminates at back-end-of-the-line (BEOL)-compatible deposition temperatures.The ALD method provides an ultimate control of the thickness, composition ratio, coverage and uniformity. The nitride films were deposited using plasma enhanced ALD (PEALD) at 300°C. TDMAT and TDMAA were the metalorganic precursors for deposition of TiN and AlN components, respectively. The properties of the binary TiN and AlN compounds were investigated separately as well to find the temperature window for the ternary PEALD process (FIG. 1). A mixture of ammonia and argon gases was used for reactive plasma. The films were deposited on SiO2/Si substrates with thermal oxide thicknesses of 3nm and 100nm. The electrical resistivity of the deposited films was measured by the transfer length method (TLM). We patterned and etched the nitride films into resistor channels with a fixed width (120µm) and varying lengths (between 440 and 1310µm) in a TLM structure. The TCR was obtained by measuring the resistivity with varying stage temperatures in the range of -40°– 100°C. The electrical resistivity of the nanolaminate increases and the TCR changes from positive to negative as the AlN content is increased from 0 to 44%, with zero TCR (and resistivity ~450 µΩ.cm) around ~20% (FIG. 2). Microstructure analysis shows that the TixAl1-xN films most likely consist of metallic TiN crystallites embedded in the semiconducting TiyAl1-yN amorphous matrix (Fig. 3). Near-zero TCR value of these films is achieved by a superposition of the conduction mechanism inside the conductive TiN crystallites and charge transfer between them through the insulating/semiconducting TiyAl1-yN matrix. Both resistivity and TCR in our laminate nitride films were found to be stable for thermal treatment of 500°C/1 h. An insignificant increase in these properties after the post-deposition annealing can be attributed to surface oxidation of the uncapped films. The zero TCR behavior of our nitride films can be achieved in as-deposited films, making them compatible with BEOL process of microelectronic fabrication (350°C – 450°C/1 h), unlike present zero-TCR technology (SiCr-based), which requires annealing at temperature ~550°C. These results pave the way toward BEOL-compatible near-zero TCR thin film resistors, which can significantly reduce capacitance and minimize design complexity of passive analog IC components. View Supplemental Document (pdf)
AA17-6 Influence of H2S Dosage on Surface Roughness of Zn(O,S) Films by Atomic Layer Deposition (ALD)
Narmatha Koothan, Tsung Te Chou, Yu Hsuan Yu, Wen Hao Cho, James Su, Chi Chung Kei (Taiwan Instrument Research Institute, National Applied Research Laboratories)

Zn(O,S) is a material commonly used as n-type buffer layer in solar cells such as CIGS, CZTS to replace toxic CdS. The optical bandgap of Zn(O,S) can be varied by adjusting the ratio of oxygen to sulfur ratio. The optical and electrical properties of the buffer layer is a crucial factor in photovoltaic devices. Optimization of the optical properties leads to the increase of light absorption in low wavelength region. Beside the optical bandgap, surface roughness, another important property, is essential in solar cell applications. The high surface roughness of the film influences the absorber/buffer layer interface and also increases the reflectance of device. Therefore, we analyzed the influence of H2S dosage on the surface roughness of Zn(O,S) films. ALD is adapted to deposit the ZnOS films because of its precise control of thickness and composition. In this study, Zn(O,S) film with the ratio of m: n (ZnO: ZnS) 11:1 were grown by ALD. ZnO was deposited with the ALD sequence of 0.2 s of Diethyl Zinc (DEZ), 0.1 s of H2O separated by 30 s of N2 purge. ZnS was deposited with the ALD sequence of 0.2 s of Diethyl Zinc (DEZ), 0.1 s of H2S separated by 30 s of N2 purge. The schematic diagram of Zn(O,S) deposition is shown in Fig.1. To study the influence of H2S dosage on the surface roughness, ZnOS 11:1 ratio films with the H2S dosage of 0.1, 0.3, 0.5 & 1 s were prepared by ALD. The elemental composition of these materials were analyzed by X-ray electron spectroscopy (XPS), shown in Table 1. Fig.2 shows the increased Surface roughness of Zn(O,S) with the increase in H2S dosage, measured by Atomic force microscope (AFM) . The changes in transmittance and reflectance with the changes in surface roughness, were studied by UV-vis spectrophotometer.

View Supplemental Document (pdf)
AA17-7 Bias-Enhanced Atomic Layer Annealing for the Deposition of High-Quality Aluminum Nitride Films on Silicon
Aaron McLeod, Scott Ueda (University of California at San Diego); Dan Alvarez (RASIRC); Andrew Kummel (University of California at San Diego)

High-quality AlN films are promising for use as buffer layers for the growth of GaN and InGaN on Si and SiC for use in RF and micro-LED devices. Known deposition methods include metal organic chemical vapor deposition (MOCVD) and sputtering, which both present integration concerns: MOCVD requires high (>700°C) temperature while reactive sputtering yields strained, randomly oriented films.1-2

Bias-enhanced atomic layer annealing (ALA) allows for lower temperature deposition of crystalline materials by adding ion bombardment to the end of each atomic layer deposition (ALD) cycle. The substrate is mounted on a negatively biased stage heater and ions are accelerated from the plasma source towards the growth surface.3 This bombardment enhances surface adatom mobility, leading to the deposition of crystalline films.

Tris(dimethylamido)aluminum (III) and anhydrous hydrazine (N2H4) (Rasirc) are used as precursors, while Ne, Ar, or Kr plasma was used for the 20s ion bombardment. For all samples, 500 cycles of ALA AlN (~40 nm) was deposited on HF-cleaned Si (111) substrates at 400 ˚C using -10V, -25V, and -40V bias for each plasma gas. In-vacuo x-ray photoelectron spectroscopy (XPS) and ex-situ grazing-incidence x-ray diffraction (GIXRD) and x-ray reflectivity (XRR) were used to determine chemical and structural composition of the films.

As seen in the GIXRD and XRR data in Fig. 1, all conditions yielded preferential AlN (002) orientation and density within 3% of bulk AlN. The full width at half max of the AlN (002) diffraction peak decreases with increasing ion momentum, indicating the growth of larger crystallites. Chemical composition data from XPS (not shown) indicate stoichiometric AlN with low impurity content of 1.2-4.9 At. % and 1.3-2.5 At. % for C and O, respectively.

Using the Ar -25V ALA condition, 20nm films were deposited to template further AlN growth by reactive sputtering. The GIXRD results of this study, as well as reference films of only sputtered material are shown in Fig. 2. It is observed that the ALA layer successfully suppresses the growth of AlN (103) and promotes (002) oriented growth. In summary, it was found that the crystallinity of the ALA AlN films could be tuned by controlling ion momentum and that these films successfully template sputtered AlN. The strong preferential orientation seen in these studies will allow these films to more effectively be used as templating layers for further GaN or InGaN growth.

1 Liaw, H. M. et al. Solid-State Elec. 44, 4 (2000)

2 Meng, W. J. et al. J. App. Phys. 74, 4 (1993)

3 Shih, H. Y. et al. Sci. Rep.7, 39717 (2017)

This work was supported in part by the Semiconductor Research Corporation.

View Supplemental Document (pdf)
AA17-8 Atomic Layer Deposition of Nio for the Modification of Electro-Catalysts for Alkaline Water Splitting
Muhammad Hamid Raza (Humboldt-Universität zu Berlin, Germany); Marvin Frisch, Ralph Kraehnert (Technische Universität Berlin, Germany); Nicola Pinna (Humboldt-Universität zu Berlin, Germany)

Different catalytically active oxides are being used as electrode materials for electro-chemical water splitting under alkaline conditions. The catalytic performance of those materials strongly depends on surface composition and morphology. Modifying the surface of such electrodes to increase the number of potentially active sites offers the opportunity to increase the electrode's catalytic efficiency.

We report the synthesis of NiO modified oxides via ALD directly onto the high-surface area electrodes. The developed ALD process for NiO relies on the use of Bis(cyclopentadienyl)nickel(II) and ozone in a thermal ALD process. The composition, morphology, and crystallinity of the deposited film were controlled by varying different deposition parameters. The varying amount, size, and morphologyof the metal oxide catalysts were homogeneously deposited onto a series of metal oxides. The structural and morphological properties as well as the performance and stability in the electrocatalytic oxygen evolution reaction in alkaline media were investigated in a 3-electrode rotating disc setup in order to elucidate performance-controlling parameters.

AA17-11 Plasma-Resistant Mixed Metal Oxide Films
Vasil Vorsa, Alexandru Pavel (Greene Tweed & Co.)

To prevent contamination of microelectronic devices during semiconductor processing, chamber components of semiconductor tools are often coated with high purity ceramic coatings such as alumina or yttria that exhibit high resistance to plasma erosion in halogen environments.However, even these materials exhibit erosion over time leading to lower yield and costly down time, especially as the industry moves to smaller node sizes.

To overcome these limitations, there has recently been a major effort (Refs) to find new coating materials and processes leading to improved plasma erosion resistance. There are now emerging plasma-resistant coatings deposited by atomic layer deposition (ALD). Advantages of ALD include conformal, dense, and pinhole-free film that can coat complex 3D shapes and high-aspect ratio holes.

In this work, a number of single component and multi-component metal oxide films (homogeneous and nanolaminate) were evaluated for plasma etch resistance.While normal ALD pulsing schemes were employed to deposit single component Al2O3, SiO2, Y2O3, ZrO2 films, YAlO, YSiO, and YZrO films were deposited by various pulsing schemes including sub and super cycles normally used to deposit nanolaminate films and pulsing schemes to simulate codeposition.The films were then subjected to plasma etching in a fluorine-argon environment utilizing a capacitively-coupled parallel plate plasma reactor.Plasma resistance of each film was assessed through calculating etch rates as measured by thickness changes using ellipsometry and fluorine penetration by XPS depth profiling.

View Supplemental Document (pdf)
AA17-14 Super-Cycle Atomic Layer Deposition of Indium Gallium Zinc Oxide
Paul Plate, Ludwig Marth, Franzsika Naumann (SENTECH Instruments GmbH); Ali Mahmoodinezhad, Christoph Janowitz, Karsten Henkel, Jan Ingo Flege (BTU Cottbus)

Indium gallium zinc oxide (IGZO) is a promising candidate as a transparent conductive oxide (TCO). The amorphous phase of this compound shows a band gap of 3.0 eV and exhibits a high charge carrier mobility and concentration with reported values of 50-80 cm2/Vs and 1017-1020 cm-3, respectively. [1,2] Therefore, it is well suited for photovoltaic applications, light emitting diodes, and thin film transistors. In contrast to single crystalline TCO's, it is much easier and cheaper to realize IGZO films. To date, the established deposition methods for IGZO layers such as DC/magnetron sputtering and pulsed laser deposition fail when the deposition of uniform films over a large substrate area is required. Also, controlling the elemental composition of the quaternary system is crucial for achieving the desired electrical properties. Atomic layer deposition (ALD) can meet both challenges by combining the ALD cycles of their respective binary compounds in a distinct sequence and ratio. This so-called super-cycle process allows controlling the composition of the target film by adjusting the individual cycle ratio.

In this work, we present a approach to deposit IGZO films by ALD. The depositions have been carried out in a SENTECH plasma-enhanced ALD (PEALD) reactor, in which we applied a thermal process for zinc oxide (ZnO) as well as plasma-enhanced processes for gallium and indium oxide (Ga2O3, In2O3). The growth mechanism of each individual process within the super-cycle has been investigated and monitored by in-situ ellipsometry (i-SE, SENTECH ALD Real-Time-Monitor). An ex-situ analysis of the compound has been performed by X-ray photoelectron spectroscopy (XPS) to determine the film composition and correlate it to the expected values based on the super-cycle sequence.

The i-SE revealed a nucleation delay for the thermal ZnO process, making it challenging to properly adjust the cycle ratio. Thus, the thermal ZnO cycle has been replaced by a plasma-enhanced ZnO process, which shows no nucleation delay. In further in-situ investigations a higher growth rate for the PEALD-ZnO deposition on a Ga2O3 surface than on an In2O3 surface was observed. Based on this knowledge, we were able to set-up the ideal cycle sequence for the IGZO process. Accordingly, XPS revealed a direct correlation between the applied cycle ratio and the elemental composition of the film. Together, these findings confirm that a full PEALD super-cycle process is an effective approach to deposit well-defined IGZO films.

[1] P. Barquinha, L. Pereira, G. Gonçalves, R. Martins, E. Fortunato, J. Electrochem. Soc. 156 (2009) H161

[2] H. Hosono, J. Non-Cryst. Solids 352 (2006) 851.

AA17-17 Effect of Precursor on Deposition Behavior of ZrO2 Atomic Layer Deposition
Younsoo Kim, Youn-Joung Cho (Samsung Electronics); Tsubasa Shiratori, Naoki Yamada (ADEKA); Seung-Min Ryu, Sang Yeol Kang, Suk-Jin Chung, Hyunjun Kim, Jongbom Seo, Minyoung Park, Jae-Soon Lim, Jae Hyoung Choi, Hyung-Suk Jung, Hanjin Lim (Samsung Electronics)

Abstract

As design rule of semiconductor device decrease continuously, a wide variety of high-k materials have been evaluated including ZrO2, HfO2, TiO2, and SrTiO3. Among of them, ZrO2 is the most commonly used dielectric material in Dynamic Random Access Memory (DRAM) capacitor [1-3]. However, Atomic Layer Deposition (ALD) of transition metal materials such as ZrO2 doesn’t show an ideal behavior and the fundamental understanding about correlation of precursor and deposition is needed to develop ZrO2 ALD process having high quality [4].

In this study, we have investigated deposition behavior of ZrO2 ALD using [Tetrakis(EthylMethylAmino)Zirconium] (TEMAZ) and [Cyclopentadienyl(Tris(DiMethylAmino))Zirconium] (CTDMAZ) precursors. TEMAZ and CTDMAZ showed different deposition rates and maximum deposition temperature within ALD window, which were related to the thermal stability of Zr precursors. The thermal stability of precursors was related to the effective atomic number (EAN) around the central metal and showed higher value in case of higher electron configuration. Zr atoms of TEMAZ and CTDMAZ have 8e and 12e configuration, respectively. Consequently, CTDMAZ showed 30oC higher thermal stability and 20oC higher ALD maximum temperature than those of TEMAZ.

In the electrical characteristics of the TiN/ZrO2/TiN capacitor, the dielectric constant and leakage current density were improved when the ZrO2 film using CTDMAZ was deposited at a high temperature. Analysis of physical properties showed that impurities were reduced and bandgap increased in the ZrO2 film using CTDMAZ at high temperature.

References

1. J.-M. Lee et al., IEEE Electron Device Lett. 38(11), 1524, (2017).

2. S. K. Kim et al., Adv. Mater. 20, 1429, (2008).

3. M. Popovici et al., Phys. Status Solidi RRL 10(5), 420 (2016).

4. V. Miikkulainen et al., J. Appl. Phys. 113, 021301 (2013).

AA17-20 Gas-Sensing Properties of Hierarchical Core-Shell Nanofibers: Radial Modulation of Hole-Accumulation Layer
Muhammad Hamid Raza (Humboldt University Berlin, Germany); Kaveh Movlaee (University of Messina); Saveria Santangelo (University of Raggio Calabria); Giovanni Neri (University of Messina); Nicola Pinna (Humboldt University Berlin, Germany)

Semiconducting metal oxide (SMOX) based heterostructured gas sensors have been applied in a wide range of environmental and safety applications. However, structurally well-defined hierarchical core-shell heterostructures are needed in order to illustrate their charge transfer and gas sensing properties. Herein, one-dimensional hematite nanofibers (NFs) were selected as a robust substrate in order to provide a high surface area to the sensing films. Firstly, hematite NFs were synthesized by electrospinning method. Secondly, in order to produce hierarchical p-MOX/hematite core-shell nanofibers (CSNFs), these NFs were coated with well-controlled p-type SMOX shell layers with varying thicknesses by atomic layer deposition (ALD).

The morphological and structural analysis confirmed a conformal coating of the hematite NFs with SMOX shell layer depicting a hierarchical core-shell like architecture, where the thickness of the shell layer was precisely controlled by varying the number of ALD cycles. The DC electrical resistance analysis showed a significant effect of the shell thickness to the baseline conductance and ultimately the gas-sensing properties of the core-shell heterostructures. In addition, the sensing-response of the proposed sensors were studied towards different concentration of the target analytes such as VOCs, hydrogen, CO, NO2 and NO. The gas-sensing response along with the other properties of the CSNFs were optimised in terms of the thickness of the p-type shell thickness and a plausible sensing and transduction mechanism is proposed.

AA17-23 Developing a Model for Describing the Effect of Dispersion of P-Type Co-Catalyst on Photocatalytic Activity Using ALD Prepared CuOX/TiO2 Photocatalyst
Saeed Saedy, Nico Hiemstra, Dominik Benz (Delft University of Technology); Hao van Bui (Phenikaa University); J. Ruud van Ommen (Delft University of Technology)

Atomic layer deposition (ALD) offers promising solutions for synthesizing well-defined advanced functional nanomaterials such as photocatalysts. Among different photocatalytically active materials, TiO2 shows an excellent potential for large-scale application; however, it suffers from limited activity to UV light. The decoration of TiO2 with ultra-fine semiconductor particles with a small band-gap can expand its activity by providing excited electrons/holes from the clusters. However, obtaining the optimum amount/size of co-catalyst is a big challenge for maximizing the photo-activity of TiO2 in a cost-effective way.

The possibility of depositing uniform nanoparticles (NPs) on the support with controlled size, shape, and morphology using ALD provides an excellent opportunity to obtain high activity photocatalysts with well-controlled structure. We deposited copper oxide (CuOx) NPs on AEROXIDE® P25 titanium dioxide NPs using a fluidized bed ALD reactor at 250°C using Cu(I)(hfac)(TMVS) and water. The ALD synthesized CuOx/TiO2 samples were examined using ICP-OES, TEM, and XPS methods, and were employed as photocatalysts for the solar production of H2.

A combination of different precursor/co-reactant pulse times and the number of ALD cycles resulted in CuOx/TiO2 samples with Cu loading in the range of 0.7-4.8 wt.%. The XPS analysis indicated a mixture of Cu1+ and Cu2+ in samples that the Cu2+ content increases by the increase of Cu content. The averageCuOx size of samples with Cu content up to 3.8 wt% was about 1.6 nm; the higher Cu concentration resulted in larger clusters. The CuOx/TiO2 samples showed significant H2 productivity improvement compared to pure P25, with about ten times higher produced H2 for the optimum sample (2.3 wt.% Cu). The higher Cu loading resulted in activity loss.

The evaluation of the H2 productivity of these samples allowed us to develop a model to describe the activity of CuOx/TiO2 photocatalyst as a function of CuOx loading via predicting the increased photocatalytically active perimeter of CuxO clusters; this perimeter is characterized by a higher activity compared to a bare photocatalyst as a result of the injection of photo-excited electrons to the support from co-catalyst. The model results fit well with the experimental data. The XPS spectra of samples showed Ti4+ reduction to Ti3+ after Cu ALD, with linear increase and plateauing of Ti3+ at Cu content of about 2.3 wt.%. Such behavior is predicted precisely by the developed model. This model enables us to predict the optimum CuOx loading of the CuOx/TiO2 system for different particle sizes. This model can be employed for other p-type semiconductor materials as well.

AA17-26 Low-Temperature Deposition of Highly Conformal TiN Films on Pt/C Nanoparticles via Plasma-Enhanced Ald for Fuel Cell Applications
Saidjafarzoda Ilhom, Richard Ortiz Godoy, Adnan Mohammad, Deepa Shukla (University of Connecticut); Mor Kattan, Nickolay Solomatin, Yair Ein-Eli (Technion); Jasna Jankovic, Necmi Biyikli (University of Connecticut)
In this work, we present our efforts on the growth of highly conformal titanium nitride (TiN) films on nano-powders via hollow-cathode plasma-assisted atomic layer deposition (HCPA-ALD). The goal is to deposit a thin protective TiN layer on a Pt/C catalyst for proton exchange fuel cell application in order to prevent carbon corrosion during operation. TiN films were deposited on Pt/C nano-particles (bare and Oleylamine coated) using tetrakis(dimethylamino)titanim(IV) (TDMAT) and Ar/N2 plasma as the metal precursor and nitrogen co-reactant, respectively. Oleylamine is used to selectively coat Pt catalyst particles and prevent TiN film from formation on them, while carbon is being coated. ALD growth experiments have been performed at 150 °C process temperature. Nano-powders were placed inside a custom-made agitator mechanism to ensure conformal film growth around the particles. Additionally, Si(100) substrate was included in the reactor to serve as a reference sample. Each unit ALD-cycle consisted of 100 msec TDMAT pulse, 10/10 sec purge, and 10 sec plasma exposure at 50 W using Ar/N2 (50/50 sccm) plasma chemistry. Ex-situ multi-wavelength ellipsometry measurements revealed the TiN film growth-per-cycle (GPC) on Si(100) sample at ~0.94 Å/cycle. Bright field Transmission Electron Microscopy (TEM) and High Angle Annular Dark Field (HAADF) Scanning Transmission Electron Microscopy (STEM) were employed to confirm conformal growth of the TiN film on the nanoparticles at the atomic-scale resolution. Additionally, Energy Dispersive Spectroscopy (EDS) mapping was performed to understand the elemental composition of the TiN coated Pt/C nano-powders. The TEM micrographs combined with the elemental EDS analysis demonstrated that homogenous TiN film is formed on Pt/C nanoparticles, having an average thickness of ~5 nm. View Supplemental Document (pdf)
AA17-29 Low Temperature Ferroelectric Hf0.5Zr0.5O2 Films Deposited by Thermal Atomic Layer Deposition Using High Purity H2O2
Jin-Hyun Kim, Yong Chan Jung, Su Min Hwang, Heber Hernandez Arriaga, Jaidah Mohan, Dan Le (University of Texas at Dallas); Daniel Alvarez, Jeff Spiegelman (RASIRC); Jiyoung Kim (University of Texas at Dallas)

To implement HZO films to three-dimensional structures and back end of line applications, utilizing atomic layer deposition (ALD) technique, low thermal budget and high conformality are necessary. One of the most well-known ways to reduce the process temperature is to use oxygen plasma as oxygen source [1], However, because of the anisotropic nature of plasma-enhanced ALD with oxygen plasma, using thermal ALD (tALD) is preferable in the aspect of achieving conformal deposition on three-dimensional structures.

In this study, we have demonstrated ALD of HZO films using high purity H2O2 in comparison with O3/O2 mixture (340 g/m3) as oxidants. Metal-ferroelectric-metal (MFM) capacitors were fabricated on thermally grown 300 nm SiO2 layer. 90 nm TiN top and bottom electrode deposited by reactive sputtering and 10 nm HZO with Hf:Zr ratio of 1:1 was deposited between the electrodes using tALD with TDMA-Hf, TDMA-Zr, O3, and H2O2 at 250 °C. The MFM stack then annealed for 60 s under N2 atmosphere varying 300 °C to 400 °C using rapid thermal annealing (RTA) and patterned to form Au/Pd hard mask to define the area of MFM capacitors. With H2O2, higher growth per cycle (GPC) of 0.24 nm/cycle compared to 0.19 nm/cycle for O3 was observed. Interestingly, even with high GPC HZO films, x-ray reflectometry results showed that with H2O2, the HZO film was denser (8.5 g/cm3) than using O3 (8.2 g/cm3). After 400 °C RTA annealing, both HZO samples experienced orthorhombic phase growth with suppressed monoclinic phase growth was observed by X-ray diffraction. Polarization-electric field hysteresis curves and pulse write/read measurement were performed after 105 wake-up cycles at 2.5 MV/cm field. HZO samples using H2O2 showed higher remnant polarization (2Pr) of 62 μC/cm2 and O3 showed 2Pr of 54 μC/cm2. Moreover, the HZO samples using H2O2 started to exhibit ferroelectric properties from 325 °C, but this was not observable in the case of O3 at the same temperature, which implies the capability of H2O2 for low-temperature applications. Also, HZO using H2O2 had lower leakage current and better endurance compared to O3 samples, and both showed similar breakdown behaviors at 3 MV/cm. Low carbon content (<1 at%) in both samples confirmed by X-ray photoelectron spectroscopy supports this result and shows the capability of carbon removal in both oxidants.

We thank YEST, KEIT for funding this project through ISTD Program (No.20010806). This work was also supported by GRC-LMD program (task#3004.001) through SRC. Ozone generator was provided by TMEIC.

[1] Takashi Onaya et al., ME 215 (2019) 111013.

View Supplemental Document (pdf)
AA17-32 Effect of NH3 Flow on Electrical and Mechanical Properties of ALD TiN Thin Films
Hyunchol Cho, Ben Nie, Ajit Dhamdhere (Eugenus, Inc.); Yifei Meng, Monica Neuburger (Eurofins EAG Materials Science); Jerry Mack (Eugenus, Inc.); Ji-Hoon Ahn (Hanyang University); Sung-Hoon Jung, Hae-Young Kim (Eugenus, Inc.)

In recent nano-scale device applications, especially in DRAM capacitor electrodes, ALD TiN films have been used due to the excellent physical and electrical properties. However, it is necessary to improve mechanical properties such as hardness and modulus, especially under 30 nm film thickness, because ALD TiN films can be easily bent and/or broken during the following integration steps. From the perspective of the intrinsic ALD TiN film property improvement, many studies have been conducted on the ALD TiN thin-film physical and electrical properties, however, there are not many reports focused on mechanical properties such as hardness and modulus. In this paper, we report on the strong relationship between ALD TiN thin-film mechanical and electrical properties and NH3 flow rate, especially on thinner films from 25 nm to 150 nm.

View Supplemental Document (pdf)
AA17-38 Experimental Ru Precursors for Cutting Edge ALD & CVD Processes
Ivan Zyulkov, Wolf Schorn (Umicore); Sarah Tymek, Julien Bachmann (University of Erlangen-Nuremberg); Silvia Armini, Geoffrey Pourtois (IMEC)

Integrated circuits downscaling constantly enforces stringent requirements on various properties of functional and sacrificial materials in a device stack, which leads to multiple challenges in process development. Process engineers are working on solutions to pattern alignment and placement error issues, on improvement of etch processes selectivity and line edge roughness, on selective deposition of functional layers and sacrificial hard-mask materials, on ultra-thin layer closure and many others. In addition to the downscaling trend, a general transition to new 3D device architectures is happening in both logic and memory. To deliver deposition processes in compliance with all the requirements mentioned above, ALD and CVD technologies start to play a much more significant role in the process development toolbox than ever before. And new metal precursors with superior volatility and preferential surface reactivity are needed to make cutting edge ALD and CVD processes possible. These new tailor-made precursors enable wider process parameter space, lower chemical consumption and higher process efficiency in comparison with standard on-shelf precursors.

One of the materials expected to be introduced into HVM within the next couple of years is Ruthenium (Ru). Ru is commonly exploited as a liner for copper electroplating and electroless copper deposition. It has a relatively low bulk resistivity (7.1 μΩ cm), a conductive oxide and a high work function (> 4.7 eV). While the demand of Ru precursors for advanced vapor deposition processes is increasing, concerns arise regarding the stability and sustainability of their supply. Industry demands a robust and undisturbed supply chain while the availability of Ru metal on the market is limited, essentially as Ru appears as side product in platinum metal mining and is required in a few industrial applications only. As a major supplier of platinum group metals, Umicore has access to Ru from recycling and metal refining activities and will be a major contributor to provide availability.

In this work we report the development of a Ru ALD precursor from ideation phase, through material supply chain set up and precursor synthesis all the way to thin film property evaluation. We target several Ru applications in IC manufacturing, such as BEOL bottom-up via prefill, conformal Cu liner deposition and area-selective hard-mask deposition for self-aligned block application. We will present our methodology, precursor chemical characterization data and Ru layer properties, such as chemical composition measured by XPS and substrate-dependent selectivity measured by SE and RBS.

AA17-41 Characterization of Al Doped SnO2 Thin Films With Various Doping Positions Using Atomic Layer Deposition
Hyunwoo Park, Namgue Lee, Yeonsik Choi, Seokhwi Song, Junghoon Lee, Gucheol Lee, Eunjong Lee, Sunggwon Lee, Hyeongtag Jeon, Chanwon Jung (Hanyang University, Korea)

Oxide semiconductors have begun to be used in thin film transistors (TFTs) as a channel layer. Oxide semiconductors are used for a variety of applications due to their advantages such as wide band gap and low process temperature. However, since indium (In) is a rare element that is not abundant on earth, there are problems associated with mass production. Tin (Sn) is an element adjacent to indium on the periodic table, and both Sn4+ and In3+ have an electron structure of [Kr] 4d105s0, which has a good influence on the electrical characteristics due to the superposition of states of the large s orbital. Tin oxide (SnO2) has many advantages for applications to thin film transistors such as high transmittance, wide bandgap, and high conductivity.However, the high conductivity tends to reduce the on/off current ratio of TFTs. Therefore, there is a need for a doping method that can reduce the conductivity. In this respect, it has been reported that aluminum (Al) atoms act as suppressors to decrease the conductivity of SnO2 films. Among the gas phase depositions, atomic layer deposition (ALD) has benefits such as excellent step coverage, uniformity, and thickness control. Also, ALD involves injecting an appropriate amount of dopant into thin films using a cyclic system while controlling the chemical quantities. Another important advantage of ALD is that the dopant can be inserted into the ALD cycle in any order, which makes it very easy to control the position of the dopant. Therefore, it is possible to study the characteristics of thin film transistor achieved by adjusting the doping position of the thin film channel through the ALD process.

In this work, we investigated characterization of SnO2 thin films with a single Al2O3 cycle at various positions using ALD. SnO2 thin films were deposited with TDMASn, TMA and ozone as a Sn, Al and oxygen sources, respectively. The Al doping positions are investigated using secondary ion mass spectroscopy (SIMS) in 20 nm thickness of SnO2 thin films. The crystallinity and thickness were analyzed by transmission electron microscope (TEM). The single Al2O3 cycle is not affected to crystallinity of SnO2. Also, on/off current ratio of thin films transistor are changed according to Al doping position using semiconductor analyzer (Agilent B1500A). We also characterize the bonding states and carrier concentration of SnO2 thin films by analyzing X-ray photoelectron spectroscopy (XPS), and Hall effect measurement. We will present more results in the meeting and will discuss SnO2 application areas.
Session Abstract Book
(386KB, Jun 9, 2021)
Time Period OnDemand Sessions | Topic AA Sessions | Time Periods | Topics | ALD/ALE 2021 Schedule