ALD2020 Session AA-TuP: ALD Applications Poster Session

Tuesday, June 30, 2020 5:30 PM in Room Arteveldeforum & Pedro de Gante

Tuesday Afternoon

Session Abstract Book
(514KB, Jul 28, 2020)
Time Period TuP Sessions | Topic AA Sessions | Time Periods | Topics | ALD2020 Schedule

AA-TuP-8 Stabilizing Red Fluoride LED Phosphors using Atomic Layer Deposition
Reinert Verstraete, Hannes Rijckaert, Geert Rampelberg (Ghent University, Belgium); Elizabeth Coetsee-Hugo, Mart-Mari Duvenhage, Hendrik Swart (University of the Free State, South Africa); Philippe Smet, Christophe Detavernier, Dirk Poelman (Ghent University, Belgium)

Red fluoride phosphors, such as K2SiF6:Mn4+ [1-2], are now one of the key red components of white light-emitting diodes (wLEDs), e.g. in display backlighting. The [MnF6]2- complexes show a narrow luminescence band at 630 nm upon blue excitation, yielding saturated red emission in a spectral region in which the human eye sensitivity is still fairly high, which is an advantage over Eu2+ doped nitride phosphors.

Despite the excellent optical properties of many fluoride phosphors, a remaining hurdle is their moisture sensitivity. Hence, surface passivation of fluoride phosphors has been an important topic in recent literature [3-5]. Conventional passivation methods are based on wet chemical processes, potentially degrading the pristine material. Moreover, in heterogeneous core-shell approaches, the fluorine terminated surface of the core shows a lack of functional sites (e.g. hydroxyl groups) inhibiting efficient bonding with typical shell materials.

In this work, the use of atomic layer deposition (ALD) for growth of Al2O3 and TiO2 seed layers on fluoride phosphor particles is investigated. The coated phosphors have hydroxyl-saturated surfaces that are compatible for further bonding with hydrophobic shells. It was found that Al2O3 seed layers suffer from blistering, pore-formation and delamination. In contrast, conformal and uniform layers of TiO2 could be grown. Unlike the untreated phosphor, the TiO2 coated phosphor could easily be further treated with a hydrophobic shell [6].

(1) Sijbom, H. F. et al., K2SiF6:Mn4+ as a Red Phosphor for Displays and Warm-white LEDs: a Review of Properties and Perspectives. Opt. Mater. Express 2017, 7, 3332-3365.

(2) Verstraete, R. et al., Red Mn4+-Doped Fluoride Phosphors: Why Purity Matters. ACS Applied Materials & Interfaces 2018, 10, 18845-18856.

(3) Nguyen, H. D. et al., Waterproof Alkyl Phosphate Coated Fluoride Phosphors for Optoelectronic Materials. Angewandte Chemie 2015, 54, 10862-10866.

(4) Murphy, J. E. et al., PFS, K2SiF6:Mn4+: the Red-line Emitting LED Phosphor behind GE's TriGain Technology™ Platform. SID Symposium Digest of Technical Papers 2015, 46, 927-930.

(5) Arunkumar, P. et al., Hydrophobic Organic Skin as a Protective Shield for Moisture-Sensitive Phosphor-Based Optoelectronic Devices. ACS Applied Materials & Interfaces 2017, 9, 7232-7240.

(6) Verstraete, R. et al., Stabilizing Fluoride Phosphors: Surface Modification by Atomic Layer Deposition. Chem. Mater. 2019, 31, 7192-7202

View Supplemental Document (pdf)
AA-TuP-9 Modulated VO2 Phase Change Properties by Ge Doping
Guandong Bai, Kham Niang, John Robertson (University of Cambridge, UK)

VO2 shows an abrupt phase change from insulating to metallic at ~ 68 °C. Because of this metal-insulator transition (MIT) property, we can make phase change switch with VO2. A functional random-access memory usually requires large device arrays and has a higher working temperature than 68 °C. Atomic layer deposition (ALD) has the advantage of good large area uniformity and conformality, therefore is suitable for memory applications.

To raise the VO­2 transition temperature (TMIT), one possible method is doping. Among various dopants that have been investigated, Mg lowers the TMIT and Si shows mixed results, Ge is one of the few able to raise it1,2,3. ALD can perform doping by inserting dopant cycles into vanadium precursor cycles, and the doping level can be fine controlled by varying the cycle number ratio. We used a Savannah ALD 100 system to deposit amorphous Ge-doped VO2 thin films, using tetrakis ethylmethylamino vanadium(IV) (TEMAV, heated at 105 °C) and germanium (IV) ethoxide (TEOGe, not heated) as the V and Ge precursor, respectively, and H2O (not heated) as oxidizer. The 4-inch Si/SiO­2 substrates were kept at 150 °C during deposition. We addressed the low growth rate due to the low vapour pressure of TEMAV by developing multiple pulsing method to maximize the efficiency of the vanadium dose, reaching a growth rate of 0.6 Å per cycle. The inserted Ge cycle number percentage varied from 0 to 10%. The as-deposited thin films are then crystallized by post-annealing at 450 °C in an Ar/O2 ambient in a quartz furnace.

We analyzed the properties of Ge-doped VO2 thin films at room temperature using spectroscopic ellipsometry (J. A. Woollam M-2000), AFM, XRD (Bruker D8 DAVINCI), Raman spectroscopy (Horiba HR800). We confirmed phase change property by checking resistivity on a Keithley 4200 parameter analyzer using a four-point probe measurement and varying the sample temperatures between 25 and 120 °C. Ellipsometry mapping shows good thickness uniformity across the 4-inch wafer. Raman spectra and XRD confirmed the +4 valency of vanadium. AFM images show a clear topography change: as the Ge doping increases, grain size decreases and roughness increased from ~2.6 nm to ~5.2nm. Electrical measurement shows a decrease of MIT on-off ratio with the increase of Ge doping, from ~ 103 at undoped to ~ 102 with high Ge doping. However, TMIT­ increases from ~68 °C to ~78 °C, proving a modulated VO2 phase change property by Ge doping.

1 N. Wang, et al. Journal of Materials Chemistry C 3, 6771–6777 (2015).

2 H. Zhang, et al. Applied Surface Science 331, 92–97 (2015).

3 A. Krammer, et al. Journal of Applied Physics 122, 045304 (2017).

View Supplemental Document (pdf)
AA-TuP-11 Optical Fibers with TFBGs as Sensors
Eden Goodwin, David Mandia, Seán Barry (Carleton University, Canada)

Tilted Bragg gratings in optical fibers through periodic changes to the core refractive index (RI) result in a reflection spectrum from the surface that permits interrogation of the surrounding refractive index (SRI). This sensitivity to the SRI has been used as sensor scaffold for biosensors and postulated as a mechanism exploitable for in-situ deposition sensing during ALD processes.

In order to monitor film growth in real time, two orthogonal modes of light are used: Transverse electric (TE)- and transverse magnetic (TM)-polarized. TE-polarized light creates an electric field in an azimuthal fashion that is parallel to the deposited film boundary at the fiber’s surface, while TM-polarized light creates an electric field radially, resulting in a field that is perpendicular. These different modes interact with the surrounding environment in drastically different fashion. Through in situ analysis of these two modes, we were able to observe the insulator-to-metal transition of ALD deposited gold from 4.2-5.5nm, demonstrating an optically conductive film. This was independently confirmed by four-point probe (4PP) measurements.

We also determined the SRI sensitivity of ALD deposited gold and alumina coated films of various thicknesses. The unprecedented level of sensitivity observed in gold coated fibers hold prospects for use in biosensing applications, particularly as a tool for optical aptasensors (biosensors utilizing single strands of DNA called aptamers) offering a cost effective, label free biomolecule detection method. This presentation will discuss the fabrication of a TFBG sensor as used in detection of gold metal deposition, and further discuss a framework for biosensing using gold-tethered DNA aptamers.

View Supplemental Document (pdf)
AA-TuP-14 Photocatalytic Lithography with Atomic Layer Deposited TiO2 Films to Tailor Biointerface Properties
Sofie Vandenbroucke (Ghent University - IMEC, Belgium); Felix Mattelaer (Ghent University, Belgium); Karolien Jans (IMEC, Belgium); Christophe Detavernier (Ghent University, Belgium); Tim Stakenborg, Rita Vos (IMEC, Belgium)

Heterogeneous substrates with different functionalities are key for the production of micro- and nanostructures in various applications. For instance, the biointerface in biosensors and lab-on-a-chip devices comprise bioreceptor molecules specifically bound to the biosensor areas to enable analyte detection, while an antifouling layer is deposited onto all other parts to prevent loss of the analyte by non-specific adsorption. Patterns of self-assembled monolayers (SAMs) are often used for these purposes, but a high-throughput production process that enables patterning on a large scale is not available.

In this work, direct photocatalytic lithography is used to generate a spatial pattern of an azido-containing SAM (N3-SAM) for the specific binding of biomolecules, and a polyethylene glycol SAM (PEG-SAM) in other areas to avoid non-specific binding. First, the degradation of N3-SAM is monitored as a function of UV exposure time for three different thicknesses. The 10 nm and 20 nm thick ALD TiO­2 films are found to remove the hydrophobic C-chains and azido groups already after 3 min of UV exposure time, while the 5 nm thick films present very limited photocatalytic activity. X-ray diffraction measurements and a wet etching procedure shows that the activity is related to the crystallinity of the material as-deposited.

Next, a biofunctional pattern is successfully created using thin ALD TiO2 films (≤ 20 nm) that are anatase-rich as-deposited, a conventional lithography mask and a short exposure time up to 5 min to a simple 308 nm UV-lamp. The effectiveness of this approach is visualized by coupling fluorescently labelled antibodies to the patterns. A schematic illustration of the patterning procedure and the resulting patterns can be seen in figure 1. It is found that the technique is very sensitive to the exposure time. An exposure time of 3 min leads to printed features that are comparable to their actual size on the photomask, but an incomplete removal of the N3-SAM in the exposed areas due to the influence of the soda lime glass mask on the irradiation. A longer exposure time of 5 min on the other hand, can successfully remove all N3-SAM from the exposed areas but results in some broadening of the printed features.

The patterning technique offers the opportunity to use such TiO2 coatings for patterning inside the microfluidic channels of a lab-on-a-chip device as ALD is known for the uniform and conformal coating in high-aspect ratio features. In addition, the technique can be implemented in a high-throughput production environment as additional processing steps are limited and short UV exposure times can be used.

View Supplemental Document (pdf)
AA-TuP-15 Enhanced Activity and Selectivity of Co-Pt/γ-Al2O3 Fischer-Tropsch Catalyst by Atomic Layer Deposited Al2O3 Overcoat
Laura Keskiväli, Patrik Eskelinen, Niko Heikkinen, Matti Reinikainen (VTT Technical Research Centre of Finland); Matti Putkonen (University of Helsinki, Finland)

Fischer-Tropsch synthesis is a widely used process where natural gas is converted to synthetic fuels by aid of metal catalysts, e.g. cobalt. However, leaching, sintering and coking undermine the process by decreasing the catalyst activity and selectivity. Atomic layer deposition (ALD) provides a pathway to create an overcoat to protect active sites and stabilize the particles on the support.1,2 In our study, we used a Picosun R-200 ALD reactor with a POCA powder coating system to deposit thin Al2O3 overcoatings (TMA+H2O, 15-40 cycles, 150 °C) on porous Co-Pt/γ-Al2O3 FT-catalysts. After deposition, we annealed the catalyst in N2 at 420 °C. Catalysts were characterized by nitrogen adsorption-desorption with Brunauer-Emmet-Teller (BET), H2-chemisorption, Scanning Electron Microscopy (SEM) and X-Ray Diffraction (XRD) techniques. Catalytic performance was studied using a tubular fixed-bed reactor system.

Our FT catalyst with particular Al2O3 coating was remarkably more active than plain catalyst without overcoat. The number of cycles and annealing had a tremendous effect on catalyst properties. The catalyst with 35 cycles of alumina showed the highest activity, up to 53%, without significant loss of selectivity towards heavier hydrocarbons (Figure 1). In addition, there was a noteworthy decrease in the olefin to paraffin ratio of light hydrocarbons. Based on our characterization, the altered catalyst properties result from the reformed surface structure of the catalyst and cobalt particle stabilization due to the annealed overcoating. The cobalt particle size decreased (XRD, chemisorption), surface area increased (BET) and roughening of the overcoated and annealed catalyst surface was detectable in the SEM images. We claim that these changes are due to the densification and a change of the composition of the overcoat, leading to a porous structure (with exposed) and new artificial active sites on the catalyst.

This project has received funding from the European Union's Horizon 2020 research and innovation programme under grant agreement No 768543.

[1] Feng, H., Lu, J., Stair, P., Elam, J. Alumina Over-coating on Pd Nanoparticle Catalysts by Atomic Layer Deposition: Enhanced Stability and Reactivity. Catal Lett (2011) 141, 512–517.

[2] O’Neill, B., Jackson, D., Lee, L., Canlas, C. et al. Catalyst Design with Atomic Layer Deposition. ACS Catal. (2015) 5, 18041825.

View Supplemental Document (pdf)
AA-TuP-23 Ferroelectricity of Ferroelectric HfxZr1−xO2/Antiferroelectric ZrO2 Stack Structure Fabricated by Atomic Layer Deposition
Takashi Onaya (Meiji University, Japan); Toshihide Nabatame (National Institute for Materials Science, Japan); Yong Chan Jung (University of Texas at Dallas); Heber Hernandez-Arriaga (The University of Texas at Dallas); Jaidah Mohan (University of Texas at Dallas); Harrison Kim, Ava Khosravi (The University of Texas at Dallas); Naomi Sawamoto (Meiji University, Japan); Chang-Yong Nam, Esther H. R. Tsai (Brookhaven National Laboratory); Takahiro Nagata (National Institute for Materials Science, Japan); Robert M. Wallace (The University of Texas at Dallas); Jiyoung Kim (University of Texas at Dallas); Atsushi Ogura (Meiji University, Japan)

It has been reported that HfxZr1−xO2 (HZO) thin films show ferroelectric (FE) behavior over a wide Hf:Zr composition range, while pure HfO2 and ZrO2 layers generally exhibit paraelectric and antiferroelectric (AFE) behaviors, respectively. The characteristics of HZO/high-k stack structures, such as HZO/Al2O3, have been studied for the future memory device applications. [1] However, it is still not clear how the AFE-ZrO2 film affect the ferroelectricity of HZO film when the ZrO2 is laminated with the HZO film. In this work, we studied the ferroelectricity and crystallinity of HZO/ZrO2 (HZ/Z) stack structure fabricated using atomic layer deposition (ALD).

The TiN/HZ/Z/TiN capacitors were fabricated as follows: An HZO film was deposited on TiN bottom-electrode by ALD at 300°C using (Hf/Zr)[N(C2H5)CH3]4 (Hf:Zr = 1:1) cocktail precursor and H2O gas. The thickness of the HZO film was varied from 0 to 10 nm. Next, a 10-nm-thick ZrO2 film was deposited at 300°C using (C5H5)Zr[N(CH3)2]3 and H2O gas. Then, TiN top-electrode was fabricated by DC sputtering. Finally, post-deposition annealing (PDA) was performed at 600°C for 1 min in a N atmosphere. The capacitors with HZO/HfO2 (HZ/H) stacks and HZO single film were prepared as references.

For the grazing incidence X-ray diffraction (GI-XRD) patterns, the patterns of the HZ/H case showed the peaks from paraelectric monoclinic (M) phase. On the other hand, the peak from FE-orthorhombic, tetragonal, and cubic (O/T/C) phases was obtained for the HZ/Z case, while those from M phase were suppressed, indicating that the HZ/Z stacks consisted mainly of O/T/C phases. For the polarization-electric field (P-E) curves, the HZ/H stacks showed paraelectric properties regardless of the HZO thickness. On the other hand, the P-E loops of the HZ/Z stacks changed from AFE to FE behavior as the HZO thickness increased. Therefore, the remanent polarization (2Pr) of the HZ/Z stack gradually increased with the HZO thickness. Moreover, the HZ/Z stack with the HZO thickness of 10 nm showed the highest 2Pr of 14 µC/cm2, which was higher than that (13 µC/cm2) of TiN/HZO (10 nm)/TiN capacitor. It was reported that the pure ZrO2 film can exhibit FE behavior. [2] Thus, these results suggest that the ZrO2 films could exhibit FE properties as the HZO thickness increased.

In conclusion, the properties of the HZ/Z stacks changed from AFE to FE behavior as the HZO thickness increased, which indicating that the ZrO2 film of the HZ/Z stack could exhibit FE properties.

This work was partially supported by JSPS KAKENHI (JP18J22998).

[1] M. Si et al., ACS Appl. Electron. Mater. 1, 745 (2019).

[2] S. Shibayama et al., J. Appl. Phys. 124, 184101 (2018).

View Supplemental Document (pdf)
AA-TuP-24 Study on Optical and Electrical Properties of Zn(O,S) Films Deposited by Atomic Layer Deposition (ALD)
Narmatha Koothan, Yu-Hsuan Yu, Chi-Chung Kei, Wen-Hao Cho, Tsung-Te Chou (Taiwan Instrument Research Institute, Republic of China)

Zn(O,S) is considered to replace CdS buffer layer in CIGS photovoltaic devices to avoid the use of toxic Cd. The efficiency of solar cells can be improved with the ideal conduction band offset(CBO), which can be optimized by adjusting the composition ratio between oxygen to sulfur. The buffer layer in CIGS requires full coverage with a pore-free, uniform layer. Therefore, ALD is adapted to deposit Zn(O,S) because it offers precise thickness control and conformal coverage.

A home-built ALD system was used to grow Zn(O,S) films, where Diethylzinc (DEZ), H2O and H2S were used as a metal source, oxygen source and sulfur source respectively. The ZnO ALD cycle sequence is 0.2 s DEZ, 0.1 s of H2O separated by 10 s of N2 purge, whereas, the ZnS ALD cycle sequence is 0.2 s DEZ, 0.1 s of H2S separated by 10 s of N2 purge. Fig.1 shows a supercycle in deposition progress of Zn(O,S), where m number of ZnO cycle and n number of ZnS cycles were deposited alternately. Zn(O,S) films with different ratios of m : n (ZnO:ZnS) such as 3 : 1, 5 : 1, 7 : 1 and 9 : 1 were fabricated in this study. The composition analysis of Zn(O,S) films was done by XPS. The optical and electrical properties were measured by UV-vis spectrophotometer and Hall measurement, respectively.

The Zn(O,S) films were deposited at 200°C with a growth rate of 1.6Å/cycle for ZnO and 1Å/cycle for ZnS. TEM results in Fig. 2 showed that the Zn(O,S) films can be fabricated by ALD with good conformality. Absorbance in Fig.3 shows that the absorbance of Zn(O,S) was larger than pure ZnO and ZnS within visible light wavelength.

View Supplemental Document (pdf)
AA-TuP-25 Atomic Layer Deposition of Highly Dispersed Manganese Oxide on Mesoporous Silicon Oxide for Selective Catalytic Reduction of Nitrogen Oxides
Saeed Saedy (Delft University of Technology, Netherlands); David Urbanas, Pranas Baltrėnas (Vilnius Gediminas Technical University, Lithuania); Ruud van Ommen (Delft University of Technology, Netherlands)

Atomic layer deposition (ALD) is a reliable method to produce catalysts in a highly controlled manner. The low temperature nature of ALD makes it possible to obtain different metal oxide nano-coatings at temperatures considerably lower than what is required to obtain the final product using conventional wet chemistry methods. Selective catalytic reduction of nitrogen oxides using ammonia (NOx NH3-SCR) is demonstrated as the most efficient NOx abatement technology among different NOx removal methods. The commercially available NH3-SCR catalysts suffer from high temperature operational condition, i.e. 300-450°C. This requires the catalyst bed to be installed prior to desulfurization and dust removal units; this initiates catalyst deactivation by sulfur-containing compounds and dust. Manganese oxide (MnOx) catalysts have a superior NH3-SCR activity at temperatures lower than 250°C; the low temperature SCR activity of MnOx catalysts is attributed to the excellent redox ability of MnOx and the various oxidation states of manganese (Mn2+, Mn3+ and Mn4+) which are necessary to complete the NH3-SCR reaction cycle. Since crystalline MnOx does not contribute effectively to NH3-SCR, the dispersion of MnOx strongly affects the LT SCR activity. Accordingly, the conventional methods of catalysts synthesis, e.g. precipitation and impregnation, are not suitable for preparation of MnOx-based SCR catalysts, because they often fail to achieve highly-dispersed supported nanoparticles (NPs). These methods usually require high temperature post-treatment resulting in improved crystallinity of NPs and decreased dispersion.

In this work we have employed fluidized bed ALD for deposition of highly dispersed MnOx on mesoporous silica (m-SiO2) aiming at low temperature NH3-SCR catalyst. The ultra-fine MnOx NPs were deposited on m-SiO2 at 150°C and 1 bar. The XPS spectra of ALD-synthesized MnOx/m-SiO2 catalysts revealed three oxidation states of Mn2+, Mn3+, and Mn4+ in these samples. However, powder XRD was not able to detect any crystalline phases of MnOx, suggesting that the crystalline MnOx phase is avoided via ALD synthesis; consistently, the MnOx NPs were scarcely observable using TEM imaging. This suggests extreme dispersion of MnOx over m-SiO2. The changes in surface area of support before and after ALD of MnOx, measured using BET method, was also negligible (ca. 448 m2·g-1); this indicates that MnOx is highly dispersed over support without pore clogging. The obtained MnOx/m-SiO2 with such characteristics provide a promising catalyst for low-temperature selective catalytic reduction of nitrogen oxides.

AA-TuP-26 Effect of Deposition Temperature on the Crystallinity and Polarization of Ga-doped HfO2 Films by Atomic Layer Deposition
Ju-Young Jeong, Hyunchul Sohn, Yoogeun Han (Yonsei University, Republic of Korea)

Ferroelectric random access memory(FRAM) is considered as one of next gengration memoy devices due to its merits such as low power consumption and fast operation speed.[1] Recently, HfO2 thin films with non-centrosymmetric orthorhombic phase of the space group of Pca21 attracted intensive attention because of their ferroelectric property. Also, effects of doping, stress, and substrate were studied to enhance the ferroelectricity of HfO2 films. [2] It was reported that the ferroelectric behavior of HfO2 was affected by conditions such as deposition and post annealing temperatures in addition to alloying elements.[2]

In this study, Ga-doped HfO2 (Ga-HfO2)films were deposited at various temperatures, ranging from 300℃ to 340℃ . Ga-HfO2 films were grown on bottom electrodes of TiN by atomic layer deposition with Tetrakis(ethylmethylamino)-hafnium(TEMA-Hf), Trimethyl-gallium (TMG) as precursors and ozone as the oxidant. Then, top electrodes of 15nm-thick TiN were deposited by sputtering. And the post annealing was conducted by rapid thermal annealing (RTA) in N2 atmosphere at 600 ℃ during 20s.

Chemical composition and bonding of Ga-HfO2 films were investigated by X-ray photoelectron spectroscopy (XPS). Structural properties were examined by Grazing Incidence X-ray diffraction (GI-XRD). The ferroelectric behaviors of Ga-HfO2 films were measurened by P-V, PUND methods with electric pulses of 3.3 MV/cm at 50 kHz and the endurance of ferroelectric switching, in addition.

Ga concentration and the non-lattice oxygen in Ga-HfO2 film were estimated to be 5.9 % and 17. 2 %, respectively. GI-XRD shows an increased intensty in nano-crystalline peak with increasing deposition tempreature. But the remanent polarization of Ga-HfO2 film was decreased with increasing deposition tempreature.

Acknowledgments

This work was supported by the R&D Program of the industry-university cooperation project of Samsung Electronics., and by the seventh stage of Brain Korea 21 PLUS project (BK21 PLUS).

References

[1] Hojoon Ryu. Et al., IEEE transction on electron device, VOL.66, NO.5 (2019)

[2] K.D.Kim et al. , journal of materials chemistry C,6864 (2016)

View Supplemental Document (pdf)
AA-TuP-27 Low Damage Remote Plasma ALD of Dielectric Layers on Graphene
Michael Powell (Oxford Instruments Plasma Technology, UK); Barbara Canto, Martin Otto, Satender Kataria (AMO GmbH, Germany); Aileen O'Mahony, Owain Thomas (Oxford Instruments Plasma Technology); Harm C.M. Knoops (Eindhoven University of Technology, Netherlands); Daniel Neumaier, Max Lemme (AMO GmbH, Germany); Ravi Sundaram (Oxford Instruments Plasma Technology)

As electronic devices become ever smaller and with the push for greater efficiency, 2-dimensional materials are becoming increasingly more desirable.1 Amongst 2-D materials, graphene is one of the most widely researched - this is due to the extraordinary charge carrier concentration and mobility of the electrons in this material. Although graphene is excellently suited for a wide range of applications, it requires the deposition of both dielectric as well as encapsulation/barrier layers,2 to modulate the electrical response from the graphene as well as physically protecting it from damage.

ALD is a technique that allows uniform and precise control of dielectrics, deposited by a soft/low damage route. Thermal ALD processes, unfortunately, often suffer from poor nucleation when deposited on graphene and also poor adherence of dielectric layers deposited on graphene.3 This presents challenges, as graphene is susceptible to damage by both radicals/ions as well as high temperatures, meaning that many routes to depositing these dielectric/barrier layers can result in poor device quality.4

We will describe how short, low power remote plasma processing can result in high quality and conformal Al2O3 dielectric layers deposited on graphene surfaces with low damage, as demonstrated by ellipsometry maps and Raman spectroscopy (fig 1). We will further show that by utilising a stacked structure, where h-BN is incorporated between the graphene and alumina layers, damage is further reduced to the graphene during deposition. We will demonstrate that these layers have good electrical properties with high throughput/processing times; which is essential for scaling graphene devices for industrial processes. Furthermore, plasma processing of the dielectric layers allows thermally sensitive substrates to be used for device construction further expanding the scope for device construction.

References:

1. Cao, Wei, Junkai Jiang, Xuejun Xie, Arnab Pal, Jae Hwan Chu, Jiahao Kang, and Kaustav Banerjee. "2-D layered materials for next-generation electronics: Opportunities and challenges." IEEE Transactions on Electron Devices 65, no. 10 (2018): 4109-4121.

2. Neumaier, Daniel, Pindl, Stephan, Lemme, Max C. " Integrating Graphene into Semiconductor Fabrication Lines. " Nature materials 18, no. 6 (2019): 525.

3. Vervuurt, René HJ, Wilhelmus MM Kessels, and Ageeth A. Bol. "Atomic layer deposition for graphene device integration." Advanced Materials Interfaces 4, no. 18 (2017): 1700232.

4. Ni, Zhen Hua, Hao Min Wang, Yun Ma, Johnson Kasim, Yi Hong Wu, and Ze Xiang Shen. "Tunable stress and controlled thickness modification in graphene by annealing." ACS nano 2, no. 5 (2008): 1033-1039.

View Supplemental Document (pdf)
AA-TuP-30 Design of Li-Containing Layers with LiHMDS
Andreas Werbrouck, Felix Mattelaer, Thomas Dobbelaere, Matthias Minjauw (Ghent University, Belgium); Frans Munnik, Jaakko Julin (Helmholtz-Zentrum Dresden-Rossendorf, Germany); Jolien Dendooven, Christophe Detavernier (Ghent University, Belgium)

ALD will be a key technique for solid electrolyte applications and protective films on Li-ion battery electrodes. Its conformality, uniformity and thickness control are key selling points no other technique can match. While a lot of simple oxides can be deposited for battery applications, battery performance could greatly benefit from the further development of lithium-containing processes.

Lithium hexamethyl disilyl azide (LiHDMS) is one of the few precursors suitable for the atomic layer deposition of lithium-containing layers. Earlier results with LiHMDS which are relevant for solid electrolyte applications are the growth of lithium silicate with O31,2, crystalline Li3PO4 with trimethylphosphate (TMP)3 and amorphous LiPON with diethyl phosphoramidate (DEPA)4 as a coreactant. From the literature it is clear that in some cases LiHMDS exhibits a dual source behavior, incorporating lithium as well as silicon in the films, while in other processes it only deposits lithium.

We developed a new, simple ALD process to deposit lithium silicate with LiHMDS and O2 plasma. The films were characterized with in-situ ellipsometry, ERD and XPS (figs.1 and 2). Lithium and silicon are both present in the deposited films.

With the aim of depositing a lithium silicate/phosphate, we intermixed the LiHMDS-O2* process with TMP in an ABC/ACB way. These processes were as well self-saturating, and the stoichiometry of the resulting films was measured by XPS/ERD.

Recently we developed a new way to conduct mass spectrometry5. Our method allows to obtain a measurement of all masses as a function of time during a full ALD cycle (fig. 3). This way the reaction products arising in an ALD process can be fingerprinted and identified. We employed this method to the new LiSiOx process with O2 plasma, the known Li3PO4 process, and the LiHMDS/O2*/TMP and LiHMDS/TMP/O2* process.

The composition of the films deposited with these mixed processes combined with the mass spectrometry measurements provided us with more insight in the dual-source behavior of LiHMDS and how it is affected by the preceding and succeeding precursor.

1 J. Hämäläinen, F. Munnik, T. Hatanpää, J. Holopainen, M. Ritala, and M. Leskelä, Journal of Vacuum Science & Technology A 30, 01A106 (2011).

2 Y. Tomczak, K. Knapas, M. Sundberg, M. Leskelä, and M. Ritala, (2013).

3 J. Hämäläinen, J. Holopainen, F. Munnik, T. Hatanpää, M. Heikkilä, M. Ritala, and M. Leskelä, J. Electrochem. Soc. 159, A259 (2012).

4 M. Nisula, Y. Shindo, H. Koga, and M. Karppinen, Chem. Mater. 27, 6987 (2015).

5 A. Werbrouck, F. Mattelaer, J. Dendooven, and C. Detavernier, in Atomic Layer Deposition, 19th International Conference, Abstracts (2019).

View Supplemental Document (pdf)
AA-TuP-32 Resistive Switching in Titanium-Aluminum-Oxide Thin Films Grown by Atomic Layer Deposition
Joonas Merisalu, Tõnis Arroval, Aarne Kasikov, Kaupo Kukli, Aile Tamm, Jaan Aarik (University of Tartu, Estonia)

Resistive switching (RS) phenomena in solid thin solid films have gained a lot of interest during the last decade offering potential for fabricating a new generation of non-volatile memory devices named resistive random access memories (RRAMs) [1].

As there are a lot of materials discovered with resistive switching properties, the explanation of RS mechanisms is complicated [2]. Intense research is going on and devoted to the modifications of the RS media, together with the interpretation of their electrical characteristics obtained from various metal-insulator-metal (MIM) structures. In the present work, electrical characteristics of RS in titanium aluminum oxide thin films are studied.

The electrically evaluated samples have MIM structure which differ from each other by the titanium-aluminum oxide multi-layer insulator film construction. All the films were deposited on Si substrate pre-covered with RuO2 layers that acted as common bottom electrode. The insulator layers were prepared by atomic layer deposition at 350 ℃ from TiCl4, Al(CH3)3 and H2O.

The dielectric is a thin film containing layers of TiO2 and Al2O3, was constructed by varying ordinarily deposited TiO2 layers with the layers of TiO2 which were deposited as a triple precursor process. This means that the pulse of titanium precursor was followed by aluminum precursor pulse led to the reaction chamber after a purge period and, then, the formation of oxide layer in a single cycle was completed by application of oxygen precursor, H2O, pulse. The growth rate of reference TiO2 layers was 0.05 nm/cycle [3]. The growth rate of TiO2:Al2O3 layer was 0.15 nm/cycle [4]. The atomic ratio Al/(Al + Ti) was 0.6 [4].

The top electrodes of Pt were deposited through a shadow mask using electron beam evaporation.

Electrical characheristics were measured as voltage sweeps using Cascade Microtech EPS-150 probestation and Keithley 2636A. All studied samples showed bipolar RS properties. Clockwise bipolar RS was recognized dominantly in samples where Al2O3 concentration was lower. The samples that contained more Al2O3 tended to show signs or even full repetitive cycles of counterclockwise RS. It was also noticed that Ron/Roff ratio between low resistance state (LRS) and high resistance state (HRS) became higher when there was more Al2O3 present in the film.

REFERENCES

1. M. Lanza, H.-S. P. Wong, E. Pop et al, Adv. Electron. Mater. 5 (2019) 1800143.

2. D. S. Jeong, R. Thomas, R. S. Katiyar, et al, Rep. Prog. Phys. 75 (2012) 076502.

3. J. Aarik, B. Hudec, K. Hušekova, et al, Semicond. Sci. Technol. 27 (2012) 074007.

4. T. Arroval, L. Aarik, R. Rammula, et al, Thin Solid Films 591 (2015) 276.
AA-TuP-34 New Hydrazine Based Precursors For Semiconductor Fabrication
Wolf Schorn, Oliver Briel, Ralf Karch (Umicore AG & Co. KG, Germany); Wolfgang Stolz (NAsP III/V GmbH, Germany)

Continuous scaling of semiconductor devices sometimes can only be achieved by introducing new materials with improved properties. CVD and ALD processes are increasingly used to meet required film conformalities of complex three-dimensional structures exhibiting specific electrical properties.

A new class of substances has proven to be a potential candidate for ALD/CVD processes, metal complexes based on the ligand N,N’-bisdimethylaminoacetamidine (Hbdma), whose properties combine high volatility with high reactivity. In addition, this class of ligands can be used to prepare complexes where the metal cations are solely surrounded by hydrogen and nitrogen atoms aiming at minimizing unwanted carbon impurities in deposited films.

We present various Group III complexes based on the bdma ligand, their thermal characterization, as well as first deposition tests with the complex [Ga(bdma)H2]. Furthermore, Bdma is a versatile ligand which can stabilize and volatilize many metal complexes based on main group elements such as Ge or B as well as transition metals such as Ni or Fe. Herein we wish to give an outlook of further work in progress.

Literature:

„Novel nitrogen/gallium precursor [Ga(bdma)H2] for MOVPE“, E. Sterzer, A. Beyer, L. Nattermann, W. Schorn, K. Schlechter, S. Pulz, J. Sundermeyer, W. Stolz, K. Volz, J. Cryst. Growth 2016, 454, 173-179.

„Metal complexes with N-aminoamidinate ligands”, J. Sundermeyer, W. Schorn, R. Karch, WO2012/113761

“Amidrazone, Hydrazidine und Formazane: Hydrazin-basierte Liganden zur Darstellung flüchtiger Metallverbindungen“, W. Schorn, PhD-Thesis, 2012

AA-TuP-39 The Use of ALD Layers for Hermetic Encapsulation in the Development of a Flexible Implantable Micro Electrode for Neural Recording and Stimulation
David Schaubroeck (IMEC - Ghent University, Belgium); Changzheng Li (Ghent University - IMEC, Belgium); Rik Verplancke, Dieter Cuypers, Maarten Cauwe, Maaike Op de Beeck (IMEC - Ghent University, Belgium)

The use of electronic microsystems as medical implants gains interests due to the combination of superior device functionality with extreme miniaturization. Electronic devices are not biocompatible and will suffer from corrosion, hence a very good hermetic device encapsulation is of utmost importance. The hermetic sealing of implantable electronics requires extremely good bi-directional barrier properties against diffusion of water, ions and gases. Moreover, extremely long biostability against body fluids and biomolecules is an important requirement for the barrier materials. In this work, an ALD multilayer of AlOx and HfOx in combination with flexible polyimide is used as a flexible hermetic encapsulation of an electronic CMOS chip which serves as an implantable probe (so called hd TIME (active high-density transverse intrafascicular microelectrode) probe) for neural recording and stimulation [1]. The main part consists of a 35µm thin CMOS chips with electrodes on top encapsulated with alternating layers of spin coated polyimide (PI2611) and biocompatible ALD layers.

The total encapsulation is developed to provide excellent barrier properties. Each ALD stack (ALD-3) consists of AlOx (20 nm) capped on both sides with HfOx (8 nm) to avoid hydrolysis of AlOx. The ALD deposition temperature is 250°C. Special attention is payed to the adhesion of the ALD layers toward polyimide and vice versa. 3 to 4 PI/ALD-3 dyads are used for the total encapsulation, since long term implantation of the medical device is envisaged. Testing however is done using only a part of the total encapsulation, in order to enable to learn about the barrier properties in a reasonnable timeframe. The WVTR of a PI/ALD-3/PI film reached a value of 2.1 10-5 g/m2day (38°C and 100% RH), the total encapsulation with 3 to 4 dyads will lead to WVTR’s in the order of 10-6g/m2day. The same PI/ALD-3/PI film has been deposited on structured copper meanders and is exposed to PBS at 60°C for 3.5 years (equivalent to 17.5 years at 37°C) [2]. Up till now, no change in Cu resistivity has been observed proving the excellent barrier properties of the PI/ALD-3/PI film.

[1] Rik Verplancke et al., 2020 J. Micromech. Microeng., 30, 015010

[2] Changzheng Li et al. 2019 Coatings, 9, 579

View Supplemental Document (pdf)
AA-TuP-41 The Effect of Electrode Material and Doping Concentration on Physical and Electrical Properties by Using Thermal and Plasma-Assisted Atomic Layer Deposition in Ferroelectric Zr-doped HfO2 Dielectrics
Pi-Chun Juan (Ming Chi University of Technology, Republic of China); Wen-Hao Cho (Taiwan Instrument Research Institute, Republic of China); Chien-Lin Chen (National Applied Research Laboratories, Republic of China); Chi-Chung Kei (Taiwan Instrument Research Institute, Republic of China)

Zr-doped HfO2 dielectric layers with TaN and/or TiN as the metal electrode for sandwich-like metal-insulator-metal capacitors were fabricated. The Zr-doped HfO2 layers i.e., Hf0.75Zr0.25O2, Hf0.5Zr0.5O2, Hf0.25Zr0.75O2 were designed by the stacking of HfO2 and ZrO2 thin-film using the thermal and plasma-assisted atomic deposition (ALD). The precursors used for HfO2 and ZrO2 depositions were TEMAHf and TEMAZr with water vaporing and oxygen ambient, respectively. The thicknesses per cycle obtained from the converged regime are 0.112 nm/ cycle for thermal and 0.117 nm/ cycle for plasma in HfO2 films, respectively. While the thicknesses per cycle obtained are 0.111 nm/ cycle for thermal and 0.118 nm/ cycle for plasma in ZrO2 films, respectively. Each sub-stacked layer of same atomic species was fixed to 5 cycles and the total thickness of Zr-doped HfO2 was controlled to 20 nm. From the XRD patterns, the dielectric film is easier to be crystallized at post-annealing temperature of 450℃ for thermal than that of 600℃ for plasma. The intensity of tetragonal phase increases with increasing the doping amount of Zr for both thermal and plasma conditions. Secondary phases of TaO and TiZrOx are shown with TaN and TiN as the electrodes in thermal, but only Ti-related phase is observed in plasma scheme. The electrical properties of current-voltage and capacitance-voltage are measured and compared. A subthreshold voltage is severely shifted and the subthreshold slope is worse for samples with thermal condition. It indicated that high interface densities between dielectric film and silicon substrate is suggested and quantified by the conduction method. In a low doping concentration of Zr, the behavior of ferroelectricity is attributed to the formation of a non-centrosymmetric orthorhombic phase of space group Pbc21, which was found in the presence of TiN for plasma and TaN for thermal conditions.

View Supplemental Document (pdf)
AA-TuP-42 PbI2 Growth for Solution ALD for PV Application
Maïssa K. S. Barr, Soheila Nadiri, Ceyla Asker (Friedrich-Alexander University of Erlangen-Nürnberg, Germany); Karen Forberich (Friedrich-Alexander University of Erlangen-Nürnberg, i-MEET, Germany); Felix Hoga, Tobias Stubhan, Hans Egelhaaf (ZAE Bayern - Erneuerbare Energien, Germany); Christoph Brabec (Friedrich-Alexander University of Erlangen-Nürnberg, i-MEET, Germany); Julien Bachmann (Friedrich-Alexander-University Erlangen-Nürnberg, Germany)

The transfer of the principles of atomic layer deposition (ALD) is possible in the liquid phase. The precursors are dissolved in a solvent and they are sequentially injected in a microfluidic chamber. This is also a generalization of already established methods such as the ‘layer by layer’ growth or the ‘successive ion layer adsorption and reaction’ (SILAR). 'Solution ALD' (sALD) shares the fundamental properties of standard ‘gas ALD’ (gALD), specially the self-limiting growth and the ability to coat porous structures. In the following the targeted field is photovoltaic. A great deal of interest has appeared on a new generation of material for solar cells application. Among them the perovskites are particularly interesting and the most studied one is CH3NH3PbI3 (MAPI). The existing deposition methods such as spin coating or vapor-deposition techniques do not allow a control at the atomic level. ALD has been used to deposit PbS but it needed a two-step conversion method to obtain a perovskite [1] . Therefore, a new process based on sALD has been developed to deposit PbI2. It allows the use of inexpensive lead salt and it is easy to process. Then, the PbI2 can be easily converted to MAPI. The PbI2 deposition is achieved with Pb(NO3)2 and LiI via s-ALD on large samples (up to 10 cm*10 cm). The ALD behavior is shown from the linear dependency the thickness in function of the number of cycles as well as from the saturation curves. The influence of the deposition parameters on the morphology, the crystalline structure and the chemical composition are investigated by scanning electron microscopy, atomic force microscopy, grazing incidence x-ray diffraction and x-ray photoelectron spectroscopy. The growth of PbI2 has been studied on different oxides with different chemical pre-treatment. Later, the obtained PbI2 is converted to MAPI by vapor annealing. The influence of the temperature, the time and the type of gas on the conversion are investigated on the different substrates. Furthermore, the influence of the ALD parameters on the properties of the perovskite layer were studied. Thus, the Perovskite layer has been integrated into a solar cell.

[1] B. R. Sutherland , S. Hoogland , M. M. Adachi , P. Kanjanaboos , C. T. O. Wong , J. J. McDowell , J. Xu , O. Voznyy , Z. Ning , A. J. Houtepen , and E. H. Sargent, Adv. Mater., 27, 53–58, 2015

AA-TuP-43 A Combinatorial Approach to the Ferroelectric Properties in HfxZr1-xO2 Deposited by Atomic Layer Deposition
Jaidah Mohan (University of Texas at Dallas); Si Joon Kim (Kangwon National University, Republic of Korea); Heber Hernandez-Arriga (The University of Texas at Dallas); Yong Chan Jung (University of Texas at Dallas); Takashi Onaya (Meiji University, Japan); Harrison Kim, Namhun Kim, Kihyun Kim (The University of Texas at Dallas); Atsushi Ogura (Meiji University, Japan); Rino Choi (Inha University, South Korea); Myung Mo Sung (Hanyang University, Republic of Korea); Jiyoung Kim (University of Texas at Dallas)

The ferroelectricity and anti-ferroelectricity of doped HfxZr1-xO2 (HZO) have been extensively studied in the literature since its first report by the Muller et al [1]. The best ferroelectric properties were observed at the near 50-50 composition ratio of HfO­2 and ZrO2 in most of the reported literature, which could be attributed to the observation of the non-centrosymmetric orthorhombic phase in the polycrystal (space group Pca21) [2]. Super-cycle ALD has been commonly used as a technique to fabricate HfxZr1-xO2 with various compositions and careful study of the compositions in the near 50-50 composition is quite tedious. Hence, a combinatorial approach [3] with saturated/non-saturated Hf and Zr precursor can be used to effectively provide the relationship between small changes in composition across the wafer to the ferroelectric and dielectric properties in the film.

In this study, the ferroelectric properties of HZO was studied on MIM capacitors using Titanium Nitride electrodes HZO was deposited using TDMA-hafnium (Hf[N(CH3)2]4), TDMA-zirconium (Zr[N(CH3)2]4), and O3 as the Hf-precursor, Zr-precursor and oxygen source respectively at 250°C. The precursor temperatures were set at 75°C during saturation for both Hf and Zr precursors and after reducing the precursor time to 60°C and the pulse time to 0.1s, a gradient in the HfO2 and ZrO2 deposition rates were observed, as shown in figure 1. Using a combination of a gradient in the HfO2 deposition with a saturated ZrO2 deposition and vice-versa, a composition difference across the wafer was obtained. Blanket TiN (90 nm thick) electrodes were deposited using magnetron RF sputtering before and after the HZO deposition as the electrodes. Then, rapid thermal annealing was done at 400oC in an N2 atmosphere for 60s to crystallize the HZO films. A conventional photolithography/etching process was used to make capacitors of different diameters. Grazing Incidence X-ray Diffraction (GIXRD) confirms that the ferroelectric orthorhombic phase is stable for HZO deposited also verified by high resolution Transmission Electron Microscopy.

This work was supported partially by Creative Materials Discovery Program on Creative Multilevel Research Center (2015M3D1A1068061) through the National Research Foundation (NRF) of Korea funded by the Ministry of Science, ICT & Future Planning, partially by NRF of Korea (grant No: 2019R1F1A1059972) and partially by the Fostering Global Talents for Innovative Growth Program (No. P0008750) through KIAT and MOTIE.

[1] J. Muller et al, Ap pl. Phys. Lett. 99 112901 (2011)

[2] M.H. Park et al, ACS Appl. Mater. Interfaces8 (24) 15466 (2016)

[3] K. W. Kim et al, PNAS, 104 (4) 1134 (2007)

View Supplemental Document (pdf)
AA-TuP-46 Structure, Morphology and Mechanical Behavior of ALD TiSiN films
Hae Young Kim, Shrishti Chugh, Ajit Dhamdhere, Ben Nie, Somilkumar Rathi, Niloy Mukherjee (Eugenus, Inc.)

As the generation of memory devices evolve, the successful fabrication of high aspect ratio (HAR) features becomes more and more challenging. Apart from the traditional patterning, deposition and etch related issues, structural stability of these HAR structures becomes a critical parameter in determining the overall device yields. Specifically, the failure modes such as bending, cracking and toppling are commonly observed when metal films with unoptimized mechanical properties (stress, hardness and elasticity) are deposited into these HAR structures.

In the current study, we present our findings on the mechanical properties such as nano-hardness, and elasticity of the multicomponent Ti-Si-N films, an excellent alternative to TiN films. These films were grown using ALD technique on the Eugenus 300mm QXP commercial single process module, multi-wafer system. Film thickness and Si content were varied, and the Ti-Si-N films were investigated by characterization techniques such as X-ray diffraction (XRD), high resolution transmission electron spectroscopy (HRTEM), and Nanoindentation.

It was found that the Ti-Si-N films with intermediate Si% to have the highest nanohardness. The maximum nanohardness of the film was achieved as high as ⪎ 20 GPa, which is two times higher than the TiN film as shown in Figure 1. Zhang et al.1 also reported similar trend in the nanocomposite Ti-Si-N films. Their as-deposited films show nanocomposite structure with nano TiN grains embedded in an amorphous SixNymatrix. It was observed that TiN crystallites become very small at increased SixNy content (Figure 2).1 Thus, under mechanical loading the material only reacts by grain boundary sliding which requires more energy than deformation by dislocation movement, therefore, higher hardness is achieved. At high Si-dose, the mean grain separation becomes so wide, that cracking takes place in SixNymatrix, thereby reducing the hardness.1

Additionally, control of Si% in the Eugenus Ti-Si-N film enables tuning of the morphology from polycrystalline to fully amorphous with optimum resistivity; in all cases, excellent step coverage on high aspect ratio structures were obtained.

View Supplemental Document (pdf)
AA-TuP-61 The Influence of ALD-ZnSnO Buffer Layer Process Conditions on the Characteristics of Tin Sulfide Thin Film Solar Cells
Jae Yu Cho, Jaeyeong Heo (Chonnam National University, Republic of Korea)

Choice of suitable n-type buffer layer to form favorable heterojunction is one of the promising criteria to achieve a high performance thin film solar cell (TFSC). Till date, CdS has been used as standard buffer layer for various TFSCs. However, the Cd-free alternative buffer layers are always in demand to increase environmental compatibility of such TFSCs. In this regard, atomic layer deposition (ALD) has already been proven as a potential technique to obtain conformal and ultrathin buffer layers.

In 2014, ~4.36% efficiency of SnS TFSCs with ALD-Zn(O,S) buffer layer has been reported by R. G. Gordon group, which is the best SnS TFSCs reported so far [1]. In this work, the application of ALD-ZnSnO (ZTO) buffer layer was investigated for SnS TFSCs. Initially, ZTO films were characterized for variable process conditions. Finally, the performance of the TFSCs was tested with ALD-grown ZTO buffer layers and the highest efficiency of 4.93% was achieved with the VOC = 436 mV, JSC = 24.0 mA/cm2, and FF = 0.47. The detailed analysis of the influence of ALD conditions on the device performance will be presented.

AA-TuP-64 Innovative ALD Industrial Services
Joël Matthey, Pierre-Albert Steinmann, Lucien Steinmann, Benoit Steinmann (Positive Coating SA, Switzerland)

Positive Coating provides thin-film coating services based on magnetron sputtering and atomic layer deposition technologies. Combining the benefits of both processes, the company is mainly active in the luxury sector. Positive Coating is the pioneer that has brought ALD within the Swiss watchmaking industry as early as 2014. Heading now for diversification, Positive Coating makes its knowledge and expertise available to other industrial applications. Based on innovation and quality, it stands as a partner to develop custom-made activities related to surface engineering. New challenging applications in the medical field will be addressed. In the presentation, two patented processes will be discussed. Firstly, a unique method to produce two-tone components without organic masking. Secondly, a ultra-white coating that combines electroplating and ALD technologies. Finally, commercial applications and examples will be presented.

AA-TuP-66 Antireflection Coating on PMMA Substrates by Atomic Layer Deposition (ALD)
Pallabi Paul (Friedrich Schiller University, Germany); Kristin Pfeiffer (Fraunhofer Institute for Applied Optics and Precision Engineering IOF, Germany); Adriana Szeghalmi (Friedrich Schiller University, Germany)

Atomic layer deposition (ALD) is a promising chemical coating technology for growing conformal films on high aspect ratios as well as complex shaped substrates. ALD is a cyclic process, which is based on sequential and self-limiting reactions of precursors with the available functional groups on substrate surface. In contrast to conventional physical vapour deposition (PVD) methods where shadowing may occur on strongly curved surfaces leading to thickness gradient, ALD can produce uniform and conformal films on arbitrarily shaped surfaces due to the self-saturating surface reactions and precise thickness control at the nanometer range.

Thermoplastics like poly(methyl methacrylate) (PMMA) has been widely used in producing various optical components like freeform surfaces, aspheric lenses, Fresnel lenses and many other diffractive optical elements. PMMA has a high transmission in the visible spectral range, excellent hardness and high Abbe number enabling it as an important alternative to glass optics. However precision coatings on plastics are rather challenging due to the tendency of crack formation and typically low adhesion of the dielectric coatings to the polymer surface. Since the optimized process parameters on glass substrates cannot be directly transferred to plastics, an explicit polymer specific research is required to functionalize polymers.

In this work, optimization of ALD processes to develop uniform, homogeneous and dense optical thin films of Al2O3, TiO2 and SiO2 on PMMA has been carried out. Upon examining the optical properties and mechanical stability of thin films, a five-layer antireflection coating on PMMA is demonstrated. While uncoated PMMA substrates have a reflectance of nearly 8% in the visible spectral range, the reflectance of double-sided antireflection coated PMMA does not exceed 1.2% in the spectral range of 420 nm – 670 nm with a total average reflectance of only 0.7%. Microscopic analysis of the cross-hatch areas on PMMA indicates superior adhesion and excellent environmental stability of the ALD coatings. Furthermore, 3D conformal growth of ALD films has been exploited on PMMA dome ensuring identical spectral response along the entire dome surface. These investigations enable a possible route by ALD to deposit uniform, crack-free, adhesive and environmentally durable thin film layers on sensitive thermoplastics like PMMA.

Reference:

1. Paul et al., Coatings 2020, 10(1), 64.

View Supplemental Document (pdf)
AA-TuP-68 Effect of Thermal Stability of Precursor on Electrical Properties of TiN/ZrO2/TiN Capacitor
Younsoo Kim, Seung-Min Ryu, Youn-Joung Cho (Samsung Electronics Co., Inc., Republic of Korea); Naoki Yamada (ADEKA Corporation, Japan); Jae Hyoung Choi, Hanjin Lim (Samsung Electronics Co., Inc., Republic of Korea)

As design rule of semiconductor device decrease continuously, various high-k materials have been evaluated including ZrO2, HfO2, TiO2, and (Ba,Sr)TiO3 [1-5]. Among of them, ZrO2 is the most commonly used as a dielectric material of capacitor in DRAM (Dynamic Random Access Memory). However, it was difficult to develop high quality ZrO2 process in 3-dimensional structure because ZrO2 ALD (Atomic Layer Deposition) didn't show an ideal behavior.

In this study, we investigated deposition behavior of ZrO2 ALD using 1:1 mixture (TEMAZ+EMA) of TEMAZ [Tetrakis (EthylMethylAmino)Zirconium] and EMA (EthylMethylAmine). TEMAZ+EMA showed 20oC higher thermal stability and 10oC higher decomposition temperature than those of TEMAZ. The thermal stability of precursors is related to the number of effective electron around the central metal. It is assumed that TEMAZ+EMA precursor is stabilized because Zr atom in the precursor is coordinated by EMA and the number of effective electron around Zr atom increase.

We compared electrical characteristics of the TiN/ZrO2/TiN capacitor using TEMAZ and TEMAZ+EMA. The leakage current density and Schottky barrier heights were significantly improved at ZrO2 films deposited using TEMAZ+EMA. We will present the correlation between physical properties of precursors and electrical properties of ZrO2 films.

References

1. K. Kim, IEEE Int. Electron Dev. Meeting 323, 2005.

2. D. S. Kil et. al., VLSI Tech. Dig. 38, 2006.

3. J.-M. Lee et. al., IEEE Electron Dev. Lett. 38(11), 1524, 2017.

4. S. K. Kim et. al., Adv. Mater. 20, 1429, 2008.

5. J. J. Joo et. al., Appl. Phys. Lett. 70, 3053, 1997.

AA-TuP-70 Mechanism of Leakage Variation with Aspect Ratio in ALD High-k ZrO2 and HZO Dielectrics
Martin McBriarty, Ryan Clarke, Sergey Barabash, Karl Littau (Intermolecular)

DRAM capacitors require ALD of ultrathin high-k dielectrics, such as ZrO2 or (Hf,Zr)O2 (HZO), throughout high aspect ratio (HAR) features. However, device performance may be compromised by trap states and other defects due to non-ideal ALD at high aspect ratios, constraining achievable capacitance. We demonstrate a simple, low-cost lateral high aspect ratio (LHAR) platform to link material properties, ALD process parameters, and device performance as a function of equivalent aspect ratio. Metal-insulator-metal capacitor (MIMcap) structures were prepared with physical vapor deposited TiN electrodes and ALD ZrO2 or HZO dielectrics grown in the LHAR test structure using metal-organic precursors (ZyALD, ZrD-O4, TDMAHf, HfD-O4) and ozone. As expected, the ALD film thickness decreases with equivalent aspect ratio. However, we also observe intrinsic variation of the leakage performance, indicating increasingly non-ideal ALD behavior deeper in the trench. Physical characterization and kinetic modeling of the deposition process explains these trends, informing future process design to mitigate defects which worsen leakage at high aspect ratios.

View Supplemental Document (pdf)
AA-TuP-73 Atomic Layer Deposition Zinc-Doped Alumina and Alucone at Room Temperature for Flexible and Transparent Gas Permeation Barriers
Shiv Bhudia, Sabrina Wack, Noureddine Adjeroud, Jérôme Guillot (Luxembourg Institute of Science and Technology, Luxembourg); David Blondin (Met-Lux S.a.); Renaud Leturcq (Luxembourg Institute of Science and Technology, Luxembourg)

Gas permeation barriers are widely employed in many technological applications. From medium barrier properties (Oxygen transmission rate, OTR ≈ 1 cc m-2 day-1 bar-1; Water vapour transmission rate, WVTR ≈ 1 g m-2day-1) as in the food packaging industry. To very high barrier properties ( OTR ≈ 10-5 cc m-2 day-1 bar-1 ; WVTR ≈ 1 g m-2day-1) such as in flexible organic opto-electronics. Here, it is even more critical to avoid the presence of water and oxygen in the active layer.

Although, many solutions are available for high performance barriers, they typically are rigid (glass) or opaque (metal coatings) solutions, and polymeric substrates lack the capability of delivering high gas barrier properties by themselves. One promising strategy is to coat the polymeric substrate with defect free thin-films.

Atomic layer deposition (ALD) of amorphous alumina show very promising properties for passivation or encapsulation layers, in organic opto-electronics, but the deposition conditions usually require temperatures above 100 °C or highly oxidative conditions (ozone or oxygen plasma) to obtained reasonable deposition rates and high density material. A method for producing high quality alumina below 60°C, using low oxidative conditions would be highly welcome for the highly sensitive materials used in organic electronics

In this work, we propose a new process for low-temperature atomic layer deposition (ALD) of high-quality gas permeation barrier based on alumina on PET substrates. These can be employed in a multi stack structure of organic and inorganic thin-film layers for ultra-low gas permeation barrier for flexible and transparent polymeric substrates.

By incorporating up to 5 at. % Zinc as dopant during the deposition of alumina, we demonstrate the ALD of high-quality alumina at room temperature, with ALD cycles times below 1 minute. The gas barrier properties of this new material, with oxygen and water vapour transmission rate (Mocon test), show barrier properties comparable with alumina deposited at 80°C. Furthermore, the physical diffusion mechanism of a gas through a thin-film gas barrier layer is investigated; For such purpose we developed an in-house He permeation tester.

View Supplemental Document (pdf)
AA-TuP-74 ALD Encapsulation of QD-Polymer Composite Films for Luminescent Applications
Natalia Zawacka, Robin Petit, Jakob Kuhs, Philippe Smet, Christophe Detavernier, Zeger Hens (Ghent University, Belgium)

Because of their high photo-luminescence intensity, quantum dots (QDs) are a promising material for absorbing and converting light in photonic applications. However, they are very sensitive to the environmental conditions and their degradation presents major challenges. Many of the most promising technological applications of luminescence require thin films. Film deposition technology is well-established in the industry with a number of different techniques used for the manufacturing of both polymeric and inorganic thin films. From industrial point of view, the fabrication of QD-in-polymer composite films is extremely relevant. However, despite many potential advantages, the development of such composite films has been inhibited by the instability of the QDs when embedded into a polymer layer.

Here, we propose a two-layered thin film architecture and its fabrication method based on industry-compatible processing techniques. The strategic approach includes a combination of a QD-in-polymer composite film, overcoated with an additional oxide layer deposited via the ALD technique as an encapsulation layer. This assembly offers an increased stability, and provides a simple architecture for various luminescent thin film based applications.

An extensive study was undertaken where the degradation trends of QDs photoluminescence were assessed during the ALD process, investigating the influence of different precursors and gasses, as well as subsequent ageing tests in controlled ambient. We fabricated and tested a medium size (5x5cm²) device, using these highly scalable processes proving that it may represent a practical route to real-life applications of QD-based Luminescent Solar Concentrators.

AA-TuP-78 ALD-Grown Aluminum Oxide Coatings for Nuclear Applications
Boris Paladino, Matteo Vanazzi (Italian Institute of Technology, Italy); Serena Bassini, Marco Utili (ENEA (Agenzia nazionale per le nuove tecnologie, l'energia e lo sviluppo economico sostenibile), Italy); Fabio Di Fonzo (Italian Institute of Technology, Italy)

Nuclear energy is one of the main characters in the power production field, and it is expected to experience a great development in the next future. Among the several new designs of power plant, the fusion reactor will be a substantial turning point in the energy sector. At the time being, issues related to materials compatibility persist. According to the most relevant design, fusion reactors will take the Tritium-Deuterium fusion as the reference reaction for power generation. Thus, the availability of Tritium to fuel the reactor core assumes relevant importance. The breeding process represents one of the main focus points of technological R&D activities and the inhibition of Tritium permeation is mandatory to achieve Tritium balance in the reactor chain: once Tritium is produced, an adequate permeation barrier is required to confine it. In addition, since the breeding material is the liquid eutectic alloy Pb-16Li, corrosion issues arise from the interaction of this medium with structural steels. A viable solution to mitigate the effects of both permeation and corrosion is the deposition of a protective barrier. We report on the preliminary studies about multifunctional nanoceramic Aluminium Oxide coatings grown by Atomic Layer Deposition (ALD) technique. The samples produced were initially characterized by means of SEM, AFM and XRD. The coatings are dense, compact and uniformly covering the whole substrate. From XRD analysis it is possible to understand that the deposited material is amorphous in structure, since no sharp peaks were detected. Corrosion tests in static Pb-16Li at 550°C for 2000h showed that the coating is able to protect the steel substrate mitigating the dissolutive process of the liquid medium. Finally, permeation tests with Hydrogen are still ongoing, but the preliminary results are promising. To conclude, ALD technique represents one of the few viable solutions for materials protection for the structural steels of the breeding blanket. Deeper characterization of the coating (nanoindentaion and thermal cycling) and longer corrosion tests are already planned.

AA-TuP-79 Atomic Layer Deposited Nitrogen Incorporated MoOx Films: Electrical and Electrochemical Properties
Arpan Dhara (Ghent University, Belgium); Debabrata Saha, Sagar Mitra, Shaibal Sarkar (Indian Institute of Technology Bombay, India)

Oxynitrides or nitrogen incorporated transition metal oxides are rapidly gaining attention in materials research because of their tunable electrical and optical properties. They offer usage in variety of applications like photocatalysis, phosphors, electrochemical energy storage, magnetic materials etc. Primary changes in the material properties after incorporation of nitrogen occur due to the difference in electronegativity, electronic charge and polarizability between oxygen and nitrogen atoms. However, effective and homogeneous doping in the host material is a major practical issue till date. Constructive ways to increase the conductivity without significantly altering the materials chemical properties after doping are still a challenge. Usually nitrogen incorporation is carried out by annealing oxides under NH3 or N2/H2 gas environment. In such circumstances the metal ions are sensitive to reduction which results in the formation of undesirable phases in the doped materials. Also the possibility of dopant inhomogeneity is a major drawback towards obtaining good quality doped materials.

In this work we report, nitrogen-incorporated amorphous molybdenum oxide (MoOx:N) thin films synthesized by atomic layer deposition (ALD) at relatively lower temperature of 1700C. One ALD cycle of molybdenum nitride (MoNx) is sandwiched for nitrogen incorporation between two MoOx layers. The concentration of nitrogen is controlled by varying the ratio of MoOx/MoNx layers. Quartz crystal microbalance (QCM) measurement is carried out to study the mass change after every single precursor dosed into the reactor. Secondary Ion Mass Spectra (SIMS) shows homogeneous distribution of nitrogen throughout the as-deposited films. Hence, uniform N-atom inclusion is adequately achieved at the reaction temperature. It is observed that conductivity of MoOx films increase with increase in nitrogen concentration. Room temperature and low temperature electrical properties of different films are also studied in detail to understand the transport mechanism with and without nitrogen incorporation.

Both pristine and N-incorporated MoOx layers are deposited on high surface area carbon nanotubes (CNTs) and applied as anode material in lithium ion batteries. As expected, addition of nitrogen helps to improve the electrochemical performance because of the increased ionic and electronic conductivity.

AA-TuP-82 Improvement of Thin-Film Transistor Performance in Atomic Layer Deposited SnO Film by Thermal Annealing Process
Jung-Hoon Lee, Su-Hwan Choi (Hanyang University, Republic of Korea); Byung-Ho Park (EM Index, Republic of Korea); Jiazhen Sheng, Jin-Seong Park (Hanyang University, Republic of Korea)

SnO thin films was deposited by the thermal atomic layer deposition (ALD) method using N,N’-tert-butyl-1,1-dimethylethylenediamine stannylene(II) as a precursor and water as reactants. The deposited SnO thin films were thermally annealed in vacuum ambient. During thermal annealing SnO thin film transformed to SnO tetragonal from amorphous phase with the increasement of surface roughness. Also, electrical properties (carrier concentration, resistivity) could be easily controlled by employing post thermal annealing treatment, SnO showed metallic to semiconductor transition as annealing temperature increased, owing to the change of the chemical bonding state existed in SnO. The combined studies of density functional theory (DFT) calculations and experimental analyses showed different density of state (DOS) depending on the defect site and chemical bonding state, could explain the change of electrical properties. The fabricated thin film transistors (TFT) showed on/off current ratio of 1.27ⅹ103 and field effect mobility of 0.98 cm2/Vs. The TFT bias stability were measured and SnO TFT showed good stability

ACKNOWLEDGMENTS

The author thanks EM Index for the technical support and helpful discussion on the simulation by using Schrödinger Materials Science Suite 2019-4 and Hansol chemical for supplying precursor

View Supplemental Document (pdf)
AA-TuP-87 Atomic Layer Deposition Platform in Luxembourg – Review of Emerging Applications for Sensors, MEMS, Energy Harvesters, Transparent Electronics and Coated Powder for Composites
Noureddine Adjeroud (Luxembourg Institute of Science and Technology, Luxembourg)

The Luxembourg Institute of Science and Technology (LIST) proposes a large atomic layer deposition (ALD) platform with complementary tools for the development of new coating processes and the improvement of existing ALD processes. The platform allows processing of various types of inorganic or organic substrates, flat or 3D objects, flexible substrates and powders by FBR (Fluidized Bed Reactor) with up-scaling capabilities. The ALD platform is completed by other thin film deposition facilities (MOCVD, PVD), by cleanroom microfabrication capabilities to realize functional chips, and by a characterization platform (SEM-EDS, ellipsometry, contact angle, XRD, XPS, SIMS, AFM, UV-Vis-NIR spectrophotometry, Raman, photoluminescence, I(V), Resistivity) for quality control of the deposited thin films. This abstract is aiming to present ALD activities of our platform covering the requests and specifications from fundamental Research partners on breakthrough materials to the development and small production processing for industrial partners. A selection of ALD developments will be presented in the framework of LIST research and development projects addressing MEMS (MicroElectroMechanical Systems) and sensors (Figure 1), Energy Harvesters (Figure 2), Multilayers (Transparent Conducting Oxides and Al2O3) for transparent electronics (Figure 3), Example of Ag nano wires covered with conformal ALD ZnO film (Figure 4) and fillers’ coating for composites (5).

By the means of thermal ALD and Plasma-Enhanced ALD (PEALD), we developed a library of different thin films and multilayers processes including oxides (ZnO, TiO2, Al2O3, SiO2, MgO), nitride (AlN, TiN), metals (Ag, Co, Ni) aiming both fundamental Research and applicative systems.

View Supplemental Document (pdf)
AA-TuP-89 Atomic Layer Deposited Films for Solar Cells Application
Karol Frohlich (CEMEA/Institute of Electrical Engineering, SAS, Slovakia); Miroslav Mikolášek (Institute of Electronics and Photonics, SUT, Slovakia); Riyas Subair, Vojtech Nadáždy (Institute of Physics, SAS, Slovakia); Alica Rosová, Edmund Dobročka, Marian Precner (Institute of Electrical Engineering, SAS, Slovakia); Matej Jergel, Eva Majková (Institute of Physics, SAS, Slovakia)

Atomic layer deposition (ALD) is exceptional deposition technique because it allows for growth of high quality films on large substrates at low deposition temperatures. In our contribution ALD was employed for preparation of ZnO-based transparent conducting electrodes and for encapsulation of fabricated solar cells using Al2O3.

Al-doped ZnO films were used for transparent conducting electrodes. Deposition was carried out at temperatures between 150 and 250 °C on Si and quartz substrates. Diethyl zinc and trimethyl aluminium were used as precursors and water vapours as reactant. Al-doping was performed by inserting Al2O3 cycles in ZnO growth. Deposition of 1 Al2O3 layer per 7 deposited ZnO layers gave the best results. Resistivity of the Al-doped ZnO films depended on the deposition temperature and film thickness. Transition electron microscopy revealed typical columnar growth of the films with fine grained polycrystalline region close to the substrate. The films prepared at 250 °C exhibited 002 texture and for the thickness above 100 nm showed resistivity of 1 mΩcm. This corresponds to the sheet resistance of 40 Ω/square. Hall measurement revealed electron concentration of 4*1020 cm-3 and mobility in the range of 10-20 cm2Vs-1. Optical transmittance of the films was higher than 80% in the wavelength range between 400 and 900 nm for the thickness up to 350 nm.

Inorganic-organic hybrid perovskite solar cells suffer from poor stability in ambient atmosphere. Oxygen and moisture are believed to be reason for the solar cell degradation. To protect the cells against ambient atmosphere influence ALD prepared Al2O3 films were employed for encapsulation. Trimethyl aluminium was used as a precursor while water vapours were applied as reactant. Deposition of the Al2O3 films took place at 50°C.

Power conversion efficiency of the solar cell encapsulated by 25 nm Al2O3 thin film deposited using water vapours as reactant decreased to 87% while unprotected solar cell degraded below 50% of its initial value after 40 days in ambient atmosphere. Potential cause of the perovskite solar cell degradation and its link to the basic solar cell characteristics is discussed.

This study was performed during the implementation of the project Building-up Centre for advanced materials application of the Slovak Academy of Sciences, ITMS project code 313021T081 supported by Research & Innovation Operational Programme funded by the ERDF.

AA-TuP-95 Biocompatibility of ALD Coatings on Nano- and Microstructures: Cell Viability Studies of Murine and Human Induced Stem Cell-Derived Neurons
Robert Zierold, Jann Harberts, Cornelius Fendler, Malte Siegmund, Matteo Schnelle, Robert Blick (Universität Hamburg, Germany)

Micro- and nanostructured substrates form a powerful tool for building next-generation medical devices. Especially, controlled interaction of neuronal cells—a building block of the central nerve system—with the substrate allow for sensing, stimulation, gene transfection and drug delivery paving the way for developing novel conceptual devices such as integrated solar cell nanowires for retina implants, artificial bio-computing circuits, and model systems for neurodegenerative diseases, to name a few.

One key prerequisite is the long-term biocompatibility of the surface to allow for fully functional neuronal outgrowth and cell viability. However, functional nano- and microstructured devices are often based on semiconductors or polymers which contain toxic materials. During cell culturing, cellular uptake of harmful components potentially influences the stem cell differentiation process, the neuronal outgrowth or the electrophysiological properties, and can ultimately induce the cell death.

Atomic Layer Deposition (ALD) is ideally suited to conformally coat micro- and nanostructures. Often ALD of standard oxides, such as silica, titania, and alumina, are claimed to be biocompatible; however, data reporting on cell viability are mostly missing in these studies.

Herein, we present cell viability studies on different micro- and nanostructured substrates coated by ALD with standard oxides of varying thicknesses and subsequently used for neuronal cell cultivation. The determined cell viabilities are compared to plain Petri dish control substrates and well established biocompatible parylene C coatings by chemical vapor deposition.

In detail, we investigate ALD-coated 3D-printed cavity structures and freestanding nanowire arrays for outgrowth of murine and human induced stem cell-derived neurons. We show that cell viability—utilizing a viability assay with subsequent confocal microscopy—and full electrophysiological integrity—investigated by patch-clamping of individual cells—is maintained on the micro- and nanostructures.

Our results suggest that biocompatible thin film coatings can be in fact achieved by ALD. This property in combination with the ability of conformal coating renders ALD to an economically feasible key technique for application in micro- and nanostructured lab-on-a-chip devices interfaced with human cells.

Harberts et al., RSC Advances 9 (2019), 11194

Fendler et al., Advanced Biosystems 3 (2019), 1800329

View Supplemental Document (pdf)
AA-TuP-96 Low-Temperature PEALD of Ga2O3 Using TMGa and O2 Plasma
Ali Mahmoodinezhad, Christoph Janowitz (BTU Cottbus-Senftenberg, Germany); Franziska Naumann, Paul Plate, Hassan Gargouri (SENTECH Instruments GmbH, Germany); Karsten Henkel, Jan Ingo Flege (BTU Cottbus-Senftenberg, Germany)

Gallium oxide (Ga2O3), a transparent semiconducting oxide, is promising for a wide range of applications in the fields of electronics, optoelectronics, and sensors [1]. For specific applications, low deposition temperatures are required to maintain the individual material properties of subjacent layers realized in prior process steps. The use of plasma-enhanced atomic layer deposition (PEALD) on the one hand and of a gallium precursor exhibiting a high vapor pressure on the other hand facilitates the deposition at lower substrate temperatures.

Hence, in this work thin Ga2O3 layers were deposited by PEALD with alternating pulses of trimethylgallium (TMGa) as gallium precursor and oxygen (O2) plasma at substrate temperatures in the range of 80 to 200 °C. The layers were prepared on silicon wafers in the SENTECH SI PEALD system [2] and subsequently characterized by spectroscopic ellipsometry (SE), X-ray photoelectron spectroscopy (XPS), and electrical measurements.

In the SE measurements a linear thickness evolution with a growth rate of ~0.66 Å per cycle and an inhomogeneity of ≤ 2% across 4” wafers were found for all samples. While the refractive index of the Ga2O3 thin films is independent of temperature (1.86 ± 0.01 at 632.8 nm), the bandgap slightly decreases from 80°C to 200 °C (4.68 eV to 4.57 eV). Correspondingly, the permittivity of the layers determined from capacitance-voltage (C-V) measurements is constant with temperature (9.7 ± 0.2 at 10 kHz). Moreover, fixed and mobile oxide charge densities in the order of 1 to 4 × 1012 were deduced from C-V data. In addition, the films show electrical breakdown fields in the range of 2.2 to 2.7 MV/cm. The analysis of the chemical composition of the films by XPS revealed ideal stoichiometric gallium to oxygen ratios of 2:3 accompanied by a lowest carbon contribution of ~10% for the sample prepared at 150 °C. [3]

Furthermore, these results will be complemented by a depth profiling analysis of the layer composition based on cyclic Ar+ ion sputtering using XPS.

The excellent materials properties are maintained even at low substrate temperatures as low as 80 °C confirming that the TMGa/O2 PEALD process is indeed suitable for low-temperature growth.

[1] Z. Galazka, Semicond. Sci. Technol. 33, 113001 (2018).

[2] K. Henkel, H. Gargouri, B. Gruska, M. Arens, M. Tallarida, and D. Schmeißer, J. Vac. Sci. Technol. A 32, 01A107 (2014).

[3] A. Mahmoodinezhad, C. Janowitz, F. Naumann, P. Plate, H. Gargouri, K. Henkel, D. Schmeißer, J. I. Flege, J. Vac. Sci. Technol. A 38, 022404 (2020).
AA-TuP-97 ALD Coatings on Ni-Rich NMC Cathode Materials for Long Lasting, High Energy Density Batteries
Jaime DuMont, Drew Lewis, Madison Martinez, Meghan Herbert-Walters, Staci Moulton, Barbara Hughes, Arrelaine Dameron (Forge Nano)

LiNixMnyCo1−x−yO2 (NMC) layered oxides have become a mainstay material in Li-ion battery technology. By steadily increasing the nickel content in each generation, NMC materials have achieved impressive energy densities (~ 800 Wh kg−1) and specific capacities (~200 mAh/g) without needing to rely on complex and impractical battery chemistries. These benefits, however, come at a cost. Increasing nickel content leads to increasing structural instabilities and severe capacity fade. Extensive research on layered-structure oxides has revealed that many battery degradation mechanisms such as phase transformations, transition metal dissolution and particle cracking initiate at particle surfaces.1,2

In this talk, we will explore how cathode coatings by atomic layer deposition (ALD) can preserve and stabilize Ni-rich NMC surfaces, enabling increased capacity retention and high voltage utilization. Coin cell durability studies at 0.5C/1C reveal that ALD coatings can increase cycle life by up to 400% at high voltage (4.6 V). At faster C rates (4C/1C), ALD cathode coatings show decreased internal resistance growth and decreased absolute internal resistance over the lifetime of the cell. We will elaborate on these findings using results from neutron diffraction (ND), X-ray spectroscopy (XPS) and electron energy loss spectroscopy (EELS) to fully examine the mechanism by which ALD surface coatings prevent detrimental surface reactions and lengthen battery cycle life.

References:

[1] L. David et. al., ACS Appl. Energy Mater. 2019, 2, 1308.

[2] D. Mohanty et. al., Sci. Rep. 2016, 6, 26532.

AA-TuP-98 Lifetime, Selectivity, Stability, and Hydrothermal Improvements with ALD Overcoating for Hydrogenation and Dehydrogenation Catalysts
Staci Moulton, Arrelaine Dameron, Tim Procelli, Ryon Tracy (Forge Nano)

While ALD has grown to be an essential and widely implemented technique in two-dimensional nanoscale device manufacturing, the innovative commercial development of applications for three-dimensional and porous materials have comparatively lagged. At Forge Nano, we recognize the criticality of enabling these ALD-assisted technologies for commercial applications, particularly in catalysis. We will demonstrate that ALD-overcoated catalysts, adding a metal-oxide top layer to a traditionally fabricated catalyst, enabled metal catalysts through targeted coating strategies for specific catalytic deactivation challenges. Our overcoat catalysts retain activity, physical stability in hydrothermal and thermal conditions, achieve improvements in selectivity, and significantly enhance lifetime. For hydrogenation of muconic acid to adipic acid, the Al2O3 ALD-coated catalysts retain up to two-fold activity for Pd on TiO2 support. Second, the Pd leaching from the catalyst was reduced by four-fold on the ALD-coated catalyst. The same Pd on TiO2 catalyst with ALD-overcoat showed significant resistance to physical restructuring under thermal treatment conditions shown both by STEM-EDS elemental mapping and activity data for uncoated and ALD-overcoated catalysts. Thermal treatment, or catalyst regeneration cycles, reduced the active catalyst surface area by over 80% for the uncoated catalyst while the ALD-overcoated catalyst retained all its active surface area over 5 regeneration cycles. The benefits of ALD for catalysis are proving to be a fertile working ground for both active materials and overcoat applications.

AA-TuP-100 Efficient and Flexible Dielectrics at Elevated Temperatures from Polymers Sandwiched with Wide Bandgap Inorganic Films Grown via Atomic Layer Deposition
Ali Kemal Okyay (Stanford University); Saidjafarzoda Ilhom, Chao Wu, Adnan Mohammad, Deepa Shukla, Yang Cao, Necmi Biyikli (University of Connecticut)

Flexible dielectrics with ultra-high power density are core components of electrical and electronic applications in terms of energy storage, power convertor, electrical insulating, etc. However, the drastically increased conduction current at high electric fields and elevated temperatures limited their development in applications under harsh conditions (e.g., aerospace and downhole fossil energy exploration) or systems with cutting-edge wide bandgap semiconductors, where the temperature could reach or surpass 150 °C. Reported here are flexible dielectrics based on Kapton® polyimide (PI) sandwiched with wide bandgap inorganics coated via thermal and plasma-assisted ALD, revealing greatly suppressed conduction current. Thin films of Al2O3 and Ga2O3 were separately grown on Kapton® using thermal and plasma-assisted atomic layer deposition (PA-ALD), respectively. Metal precursor and oxygen co-reactant for the process included trimethylaluminum (TMA) with DI-water in thermal, and triethylgallium (TEG) with Ar/O2 plasma in plasma-enhanced ALD. Growth experiments were performed at 200 °C substrate temperature. The charging-discharging efficiency investigated by high electric field displacement-electric field (DE) loops was significantly improved, particularly under high electric fields and elevated temperatures. Films of varying thicknesses: 50 - 160 nm Al2O3 and 30 - 50 nm Ga2O3 were grown to study the charging-discharging efficiency. For the Al2O3 coating, the efficiency increased with 50 nm film when compared to 160 nm. However, the highest efficiency improvement was obtained for the plasma-assisted ALD grown Ga2O3 film, which possibly resulted in better blocking the charge injection in the film and thus good control of the conduction losses. Further tests will be performed to study the effect of thinner and plasma-enhanced grown Al2O3 on the charging-discharging efficiency enhancement of Kapton® flexible dielectrics. ALD as a facile and scalable fabrication technique would enable enormous potential in large scales of roll-to-roll processing for industrial high-power electrical cabling applications.

View Supplemental Document (pdf)
AA-TuP-101 On the Atomic Layer Deposition of Catalysts for Dehydrogenation of Propane with CO2: The Study of Reaction Performance and Coke Formation
Fatemeh Gashoul Daresibi, Yadollah Mortazavi, Abbas Ali Khodadadi (University of Tehran, Iran)

Increasing the worldwide demand for propylene, inspired researchers for the study of promoted highly advanced techniques compared to the current industrial methods to fulfill the requirements of the market. Regarding this issue, CO2-ODHP has attracted attentions due to its role in facilitation of the C-H bond activation, lowering the activation energy of the reaction as a whole and more effectively reduce the process temperature with much higher rates without the problem of deep oxidation or low selectivity.

In this study, we applied the atomic layer deposition of CrOx/SiO2 to enhance the performance of CO2-ODHP reaction. Silica supported chromium oxide catalyst was synthesized by using Cr(acac)3 and synthetic air as metal precursor and oxidant respectively. The support temperature was selected in the suitable range of ALD called ALD-window and the precursor dose time was 9 h. The outlet of the ALD reactor was monitored by an on-line FTIR gas cell. The loading of Cr was determined by ICP-OES. The ALD catalyst was used for the dehydrogenation of propane in presence and absence of CO2. The oxidation step followed by stabilization of catalysts was carried out at three different temperatures, 300, 600 and 650ᵒC. Results reveal the higher performance of ALD catalyst in presence of CO2 compared to direct dehydrogenation. However, in both conditions, catalyst suffers from deactivation through the time-on-stream possibly due to the structural changes during the reaction, irreversible reduction of Cr6+ and coke formation. The catalyst with lower oxidation and stabilization temperature, 300°C, has shown the higher reaction performance close to equilibrium conversion. The coke formation was studied with Raman spectroscopy and Temperature Programmed Oxidation (TPO) accompanied by on-line FTIR analysis. Results illustrate the presence of both graphitic and disordered carbonaceous species. The main product of the coke combustion was CO2 with a very slight amount of CO. To find out the coke source, Ar diluted propylene was passed through the catalyst and the Raman results show a similar spectrum revealing the propylene as the main source. The adsorption-desorption isotherm and pore size distribution curves show slight structural changes after reaction. Temperature programmed reduction (TPR) over fresh and regenerated spent catalyst also shows a little decrement in reducibility of catalyst as another sign of structural changes and/or irreversible reduction of chromium (VI). To summarize, the ALD-synthesized Cr/SiO2 catalysts show higher performance at lower oxidation temperature and in presence of CO2 while the deactivation under reaction conditions is still an issue.

View Supplemental Document (pdf)
AA-TuP-103 Atomic Layer Deposited Al-doped TiOx as Passivating Contacts on Silicon Solar Cells
Borong Sang (University of New South Wales, Australia); Zengguang Huang (Jiangsu Ocean University, China); Md. Anower Hossain (University of New South Wales, Australia); Amir Abdallah, Yahya Zakaria (QEERI, Qatar); Bram Hoex (University of New South Wales, Australia)

Atomic layer deposited (ALD) titanium dioxide (TiO2) has been well investigated and has been reported to be an excellent electron selective contact on silicon solar cells with a high level of surface passivation; however, its poor thermal stability[1][2] limits the range of processes which can be used after the application of the TiO2 film which is, in particular, a significant restriction for the metallization step. Aluminum (Al) doped TiO2 is reported to effectively improve perovskite solar cell performance especially in electric properties via creating defects due to the size discrepancy between Ti and Al atoms [3]; however, ALD doping materials are yet to be thoroughly investigated applying on silicon solar cells as passivating contacts.

In this work, Al was successfully incorporated into TiO2 layers using an ALD supercycle approach at 150 oC, showing both passivation and electrical performance of superior quality on silicon wafers. A champion effective minority carrier lifetime of 1.9 ms is obtained from Al-TiO2/SiO2/n-Si stack after 300 oC annealing while a significantly lower lifetime of 210 µs is obtained from the undoped reference. This indicates that Al incorporation increases the thermal stability of the TiO2 layer and the passivation effects, and this is very beneficial from an application point of view. TLM measurement showed that contact resistance also reduces by introducing Al into the TiO2 layer, which can probably be attributed to the defect states introduced by Al. Furthermore, DFT simulation results well explained the mechanisms of improved performances from experimental results. Future work will focus on fabricating solar cell devices employing this doped layer as electron selective contact to further improve the solar cell efficiency.

References

[1] Yang, X., Bi, Q., Ali, H., Davis, K., Schoenfeld, W.V. and Weber, K., 2016. High‐performance TiO2‐based electron‐selective contacts for crystalline silicon solar cells. Advanced materials, 28(28), pp.5891-5897.

[2] Matsui, T., Bivour, M., Ndione, P., Hettich, P. and Hermle, M., 2017. Investigation of atomic-layer-deposited TiOx as selective electron and hole contacts to crystalline silicon. Energy Procedia, 124, pp.628-634.

[3] Liu, W., Zhang, L., Liao, L., Liu, Z., Wang, D., Chen, Q., 2016. Synthesis of Al-Doped TiO2 Thin Films by Low-Temperature Atomic Layer Deposition for Perovskite Solar Cells. Chinese Joural of Vacuum Science and Tecnology, 36(6), pp.705-709.

View Supplemental Document (pdf)
AA-TuP-105 The Evolution of Temperature Monitoring in ALD
Julian Paolino, Jason Merson (Global Results Communications)
The advancement and complexities in semiconductors are the foundation for continued technology advancements in virtually every device and machine we interact with. As semi structures become more complex, processes like Atomic Layer Deposition (ALD) are exceedingly important to ensure deposits are precise and can interconnect with the different layers. Even though these processes have been out there for people to explore, ALD has been too expensive to pursue. But now, advancements are making ALD economically feasible and the market is expected to rapidly expand within the next few years.

As ALD becomes a highly utilized deposition method, the industry should expect to see more complex structures where the measurement of temperature becomes increasingly important as a control variable. Traditionally with ALD, thermocouples have been used to monitor temperature, but these only provide an indirect measurement that requires a model to calculate the offset. This becomes problematic over time because as the thermocouple degrades the model changes making temperature readings harder to determine and unreliable. Now we are seeing a push for a better solution for measuring temperature with precision as more semiconductor manufacturers adopt ALD.

During this presentation, Advanced Energy – a global leader in highly engineered, precision power conversion, measurement and control solutions – will discuss how the industry is now moving towards the adoption of optical temperature measurement tools, such as non-contact pyrometers that can get within 2mm of a silicon wafer without causing damage or interrupting the ALD process. This will include:

• A look at the evolution of temperature reading in ALD and its challenges

• How pyrometers provide precise, non-contact temperature readings

The importance of emissivity measurement

Session Abstract Book
(514KB, Jul 28, 2020)
Time Period TuP Sessions | Topic AA Sessions | Time Periods | Topics | ALD2020 Schedule