ALD2018 Session AF-TuP: ALD Fundamentals Poster Session

Tuesday, July 31, 2018 5:30 PM in Premier Ballroom

Tuesday Afternoon

Session Abstract Book
(523KB, May 5, 2020)
Time Period TuP Sessions | Topic AF Sessions | Time Periods | Topics | ALD2018 Schedule

AF-TuP-1 Kinetic Study on Atomic Layer Deposition of Zinc Oxide from Diethylzinc and Water
Sungjoon Kim, Yo-Sep Min (Konkuk University, Republic of Korea)

Zinc oxide is a semiconducting material used in various applications due to its easily tunable electrical conductivity and transparency. Atomic layer deposition (ALD) is a preferred method when depositing thin films of ZnO due to its ability to deposit conformal layers of material even on high aspect ratio substrates. Despite ALD’s advantages, the mechanisms and reaction pathways regarding the deposition processes are still under investigation, even for the most widely operated processes. The complex nature of the deposition environment make accurate predictions difficult, and although calculative methods such as the density functional theory (DFT) offer some insight to the reaction kinetics, their usefulness is somewhat limited in real applications. In this study, we propose a mechanism for diethylzinc adsorption on ZnO film which incorporates a molecular adsorption equilibria before the precursor molecule irreversibly adsorbs on the surface. The saturation curves at various deposition temperatures and precursor exposure times were analyzed to evaluate the effect of temperature on the rate of adsorption reaction. Reaction parameters such as the effective activation energy and the steric hindrance factor were extracted from the proposed model. The effective activation energy of the adsorption of diethylzinc on ZnO film was around 0.14 eV, and the decrease in growth-per-cycle may be attributed to the shift in adsorption/desorption equilibria at high temperatures. The effect of de-hydroxylation of the film’s surface was found to be insignificant in the temperature range of this work.

AF-TuP-2 Effects of Organic Doped on the Performance and Stability of ALD Grown ZnO Thin Film Transistor
Hongbum Kim, SungHo Yu, Myung Mo Sung (Hanyang University, Republic of Korea)

We fabricate zinc oxide thin-film transistors (TFTs) using 4-mercaptophenol (4MP) doped atomic layer deposition (ALD) grown ZnO that results in highly stable and high performance. The 4MP concentration in ZnO films were varied from 1.7% to 5.6% by controlling Zn:4MP pulses. The n-type carrier concentrations in ZnO thin films were controlled from 1.017×1020/cm3 to 2.903×1014/cm3 with appropriate amount of 4MP doping. The 4.8% 4MP doped ZnO TFT revealed good device mobility performance of 8.4 cm2/Vs and the on/off current ratio of 106. Such 4MP doped ZnO TFTs exhibited relatively good stability (ΔVTH : 0.4 V) under positive bias-temperature stress while the TFTs with only ZnO showed a 4.3 ΔVTH shift, respectively.

AF-TuP-3 Investigation of Annealing Effects on Structural and Compositional Properties of AlN Films Deposited by Atomic Layer Deposition
Jun Chen, Feng Zhang, Xingfang Liu, Guoguo Yan, Zhanwei Shen, Zhengxin Wen, Lei Wang, Wanshun Zhao, Guosheng Sun, Yiping Zeng (Institute of Semiconductors, Chinese Academy of Sciences, China)

We have investigated and compared the influence of annealing temperature on properties of AlN thin films grown on Si (100) substrates by atomic layer deposition using trimethylaluminum and ammonia as precursors at 400℃. Those as-deposited films were annealed by rapid thermal annealing at 700℃, 800℃, 900℃ and 1000℃ for 1 min in N2 atmosphere, respectively. The thickness, refractive index, and surface morphology and composition of AlN films were measured by spectroscopic ellipsometer, scanning electron microscope (SEM) and X-ray photoelectron spectroscopy (XPS).

As the annealing temperature increased, the thickness of AlN thin films decreased because annealing temperature increased the density of the AlN film, as shown in Figure 1. This result was in good agreement with the surface morphology measured by SEM, as shown in Figure 2. We observed that there were obvious grains on the surface of the as deposited AlN films, which indicates that nucleation and island growth were simultaneous. With the increase of annealing temperature, the grain size of AlN thin films gradually became smaller. The possible reason is that the annealing temperature increased the kinetic energy of atoms and then the films tended to form the stable structure and became denser.

Moreover, the refractive index increased with the increase of the annealing temperature,as shown in Figure 3, which is probably due to the changes of the components in the films. In the as-deposited films, the value of refractive index was small because of the high content of AlON contained, as shown in Figure 4(a). As the annealing temperature increased, Al-O-N bond decreased and more Al-N bond increased in the films, as shown in Figure 4(b) and Figure 4©. Since the refractive index of AlN is higher than AlON[1], the increase of AlN in the films resulted in an increase of the refractive index.

[1] Hong-Yan Chen et al. ACS Appl. Mater. Interfaces, 9, 44(2017).

View Supplemental Document (pdf)
AF-TuP-4 PE-ALD Growth of GaN on Various Substrates and their Device Applications
Xinhe Zheng, Yingfeng He, Meiling Li, Sanjie Liu, Yimeng Song, Huiyun Wei, Mingzeng Peng, Peng Qiu, Yunlai An (University of Science and Technology Beijing, China)

Gallium nitride (GaN) based films and devices on various substrate or templates can produce different potential in electronic and/or optoelectronic applications. The device systems include high-frequency hot electron transistors (HETs) relying on the vertical transport of a controlled source of hot-electrons implementing two-dimensional (2D) materials, such as graphene and Molybdenum disulfide (MoS2), etc., in the base region to form GaN/2D materials heterojunction systems on silicon and thin-film transistors (TFTs) on flexible substrates. To reap this benefit, one of great challenges is to grow high-quality GaN films on various templates at reasonably-high deposition temperature, but no damage of templates or flexible substrates is allowed. This challenge implies that the overall thermal budget of the device processing should be as low as possible, especially in the case of GaN deposition. The two device systems share the same requirements from endurable temperature processing of templates and/or flexible substrates and reasonably-high deposition temperature for high crystalline quality and realization of good performing device.

Therefore, the trade-off of temperature choices on various templates or substrates will play a critical role in the ALD processing. In this presentation, we report some detailed growth results of GaN films on graphene, MoS2 templates and flexible KAPTON substrates at lower and higher temperatures, respectively. It is found that during the ALD window, all GaN films show a polycrystalline with wurtzite structure and have a tendency to form preferential crystallization with increasing of the thickness. While the window temperature increases, the crystalline quality and GaN density are intriguingly enhanced. For the case of GaN films over templates onto SiO2/Si substrates, a very sharp interface between them is observed. While in the case of KAPTON substrates, transmission electron microscopy (TEM) and X-ray photoelectron spectroscopy (XPS) measurements reveal a back diffusion of GaN into the KAPTON. Possible reasons behind these intriguing observations are in detail discussed in the presentation.

View Supplemental Document (pdf)
AF-TuP-5 Novel Tin Precursors for Atomic Layer Deposition of Tin Oxide Thin Films
Seong Ho Han, Taek-Mo Chung (Korea Research Institute of Chemical Technology (KRICT), Republic of Korea); Seung Uk Son (Sungkyunkwan University (SKKU), Republic of Korea); Bo Keun Park, Chang Gyoun Kim (Korea Research Institute of Chemical Technology (KRICT), Republic of Korea)

In the past decade, oxide semiconductor materials have attracted great attention for various applications in thin film transistors (TFTs), gas sensors, lithium batteries, and solar cells. Especially, tin(II) monoxide (SnO) which is a p-type material, has attracted material because of wide optical band gap energy (2.7~3.0 eV), which highlights the possibility of completely transparent electronic devices. Moreover SnO based TFT recently showed the record field effect mobility of ~6.75 cm2/V∙s and Hall mobility of ~18.71 cm2/V∙s. On the other hand, tin(IV) dioxide (SnO2) is an n-type material, which has also wide band gap energy (~3.6 eV) with excellent optical, electrical, and chemical properties. SnO2 is transparent under visible light and the resistivity of SnO2 films can vary at wide range. Doped SnO2 films can be applied as transparent conducting electrodes. Generally, chemical vapor deposition (CVD) or atomic layer deposition (ALD) are required for uniform and conformal thin film growth. Moreover, SnO or SnO2 can be deposited selectively by the oxidation state control with Sn(II) precursors and oxygen source. In the cases of ALD, various Sn precursor/reactant combinations have been studied to grow SnO or SnO2 films, and many cases resulted in n-type SnO2 films.

In pursuit of novel tin(II) precursors for thin film applications, we have synthesized new tin complexes using tin(II) chloride as starting material. The resulted complexes were characterized by various analysis equipments such as nuclear magnetic resonance (NMR), elemental analyses (EA), thermogravimetric analysis (TGA), and single crystal X-ray diffraction.

AF-TuP-6 Preparation of Transition Metal Molybdenum Precursors and MoS2 Thin Films Growth by Atomic Layer Deposition (ALD)
Sunyoung Shin, Jahee Kim, Bo Keun Park, Chang Gyoun Kim, Taek-Mo Chung (Korea Research Institute of Chemical Technology (KRICT), Republic of Korea)

Molybdenum disulfide (MoS2) has in recent years become one of the most intensely studied subjects in materials chemistry and materials science, because MoS2 is a layered semiconductor with a thickness-dependent optical band gap in the range of 1.2–1.8 eV. 2D MoS2 has been utilized for battery, gas, and biosensor applications, and extensively for catalytic hydro-desulfurization and hydrogen evolution processes. Precursor used in the ALD requires volatility, stability, and low deposition temperature. Precursors using a heteroleptic ligands with different reactivity have advantage of selective reaction of the heteroleptic ligands on substrate during ALD process. In this study, we have synthesized new heteroleptic molybdenum precursors with aminoalkoxides which have been widely used for the development of precursor because of their chelating effects by increasing the coordination number of the metal, and advantages to synthesize a single precursor. A newly synthesized Mo(IV) precursors will be adopted for MoS2 thin films by ALD.

AF-TuP-7 Synthesis and Characterization of Molybdenum Precursors Containing Aminoalkoxide and Aminothiolate Ligands for MoS2 Thin Films by Atomic Layer Deposition
Jahee Kim, Sunyoung Shin, Bo Keun Park, Chang Gyoun Kim, Taek-Mo Chung (Korea Research Institute of Chemical Technology (KRICT), Republic of Korea)

Transition metal dichalcogenides (TMDCs), MX2 (M = Mo, W; X = S, Se, Te), have been widely studied for various applications such as future electronic materials and various catalysts due to their interesting properties of two-dimensional layered structure. The unique structure of TMDCs is attracting attention as a next generation flexible devices. Among them, molybdenum disulfide is most interesting because MoS2 has a band gap of 1.2-1.8 eV and excellent optical and mechanical properties. It can be applied to wide variety of applications to such as semiconductor devices, batteries, supercapacitors, optoelectronics, hydro cracking and hydrodesulfurization catalysts.

In order to produce uniform and high-quality MoS2 thin films by atomic layer deposition, it is essential to develop reactive molybdenum precursors without producing harmful or corrosive by-products.

In this study, we synthesized molybdenum precursors containing aminoalkoxide and aminothiolate ligands to fabricate MoS2 thin films. Newly synthesized molybdenum complexes were characterized by elemental analysis (EA), FT-IR, 1H NMR and Mass, and the crystal structure was analyzed by single crystal X-ray analysis. The thermal stability and volatility were confirmed by thermogravimetric analysis (TGA).

AF-TuP-8 Synthesis of Manganese Nitride Precursors
Sunju Lee, Bo Keun Park, Teakmo Jung, Chang Gyoun Kim (Korea Research Institute of Chemical Technology (KRICT), Republic of Korea)

Cu diffusion barrier materials are important to prevent Cu diffusing onto substrate. Among a variety of Cu diffusion barrier materials, TaN has high resistance against Cu migration, robust mechanical properties, and high chemical stability. For the Cu diffusion barrier, TaN film has thickness of more than 10 Å includes a TaN layer above Ta layer. However, for smaller nodes (less than 32 nm), a thinner TaN thin film (5 nm) is required. TaN thin film with the thickness is non-continuous, resulting in larger wiring resistance and lower copper barrier properties. Therefore, manganese nitride thin film can be presented as one of the most promising material in back-end of line copper wiring of next-generation devices.

Precursors for manganese nitride thin film are Mn(amidinate)2, Mn(guanidinate)2, Mn(β-diketiminate)2, etc. However, the precursors have some issue such as volatility, thermal stability, and reactivity to use in the ALD method. Therefore, development of new manganese precursor is required. Recent in precursor chemistry are developments of heteroleptic precursor bonded to different types ligands. The heteroleptic precursors can have a distinct advantage of having a different dissociation pattern for each ligand.

Herein, we report the synthesis of new heteroleptic manganese precursors for thin films containing manganese. Also, the precursors were fully characterized by IR, thermogravimetric analyses (TGA), microanalyses, and structural analysis through X-ray single crystallography.

AF-TuP-9 Atomic Layer Deposition of HfO2 Thin Film using a Novel Linked Cyclopentadienyl-amido Hf Precursor
Jung Woo Park, Min Hyuk Nim, Mira Park, Ki Yeung Moon, Jong Ryul Park, Kwang Soo Lim, Jang Hyeon Seok (Hansol Chemical, Republic of Korea)

Hafnium oxide (HfO2) is presently considered as one of the most promising candidates for alternative gate oxide insulating layers in CMOS (complementary metal oxide semiconductor) devices because of its thermal stability, high permittivity, and relatively large bandgap. Other possible applications for HfO2 thin film include DRAM (dynamic random access memory) capacitors and optical coatings. In this study, we introduce a novel linked cyclopentadienyl-amido Hf precursor, CMENHa. Also, we compared the properties of the HfO2 thin film of CMENHa to those of CpHf(NMe2)3 grown by atomic layer deposition (ALD).

The physical characteristics of CMENHa, CpHf(NMe2)3 and Hf(NEtMe)4 were investigated by NMR and viscometer. It was notable that the viscosity of CMENHa was similar to CpZr(NMe2)3. The thermal stability of CMENHa was also investigated by thermogravimetric analysis (TGA). The amount of residue was about 0.6% for CMENHa, which had a less residue compared to CpHf(NMe2)3 (2.5%) and Hf(NEtMe)4 (3.2%). The ALD characteristics of CMENHa compare to CpHf(NMe2)3 will be discussed in the conference. Based on suitable viscosity and good thermal stability, the CMENHa is expected to be promising precursor for insulating layer in gate oxide and DRAM capacitors.

Figure 1. (a) Thermogravimetric analysis of Hf precursors, (b) Physical characteristics of Hf precursors

View Supplemental Document (pdf)
AF-TuP-10 Al2O3 Thin Film Fabrication by UV-assisted Atomic Layer Deposition
Gyu Sang Yi, Myung Mo Sung (Hanyang University, Republic of Korea)

We have deposition Al2O3 thin films at room temperature by UV light enhanced atomic layer deposition on poly(ethylene terephthalate) (PET) substrates. We have used trimethylaluminum (TMA) for metal source and H2O for oxygen source as precursors during UV irradiation. The atomic layer deposition can be done with pulsing of the precursor gases onto the substrate surface followed by chemisorption of the precursors onto surface. In general cases, the surface reactions of the atomic layer deposition are not conducted at low temperature.

In this experiment, by using UV radiation ,the reactions were self-limiting surface reaction and complementary enough to yield uniform and conformal Al2O3 thin films at low temperature. The UV light was very efficient to get the high quality Al2O3 thin films without any defect on polymer substrate. The thickness, density, morphology and electrical property of the Al2O3 thin films were measured by AFM, XRR, ellipsometry, and C-V measurement

AF-TuP-11 Fabrication and Characterization of Organic-Inorganic Hybrid Thin Films
Thu Huong Chu, Myung Mo Sung (Hanyang University, Republic of Korea)

Nowadays, the next generation electronic devices require new materials that have great properties. One way to fabricate new materials is the hybridization of existing materials having different properties. The hybrid materials mixed by organic and inorganic components are expected to have combined properties both of inorganic parts, such as stability and high electrical or optical performance and organic parts, such as flexibility and functionality. Furthermore, the hybrid materials are expected to have synergic effects which are not shown in just one component.

In this report, we fabricated new types of organic-inorganic hybrid thin films by molecular layer deposition. The hybrid thin films were made by sequential surface reactions of metal alkyls and bifunctional monomers. Diethyl zinc and 2,4-hexadiyne -1,6-diol are used as an inorganic precursor and an organic precursor, respectively, in order to fabricate poly(zinc diacetylene). Some methods are used to characterize the microstructure and compositions of the hybrid films such as Raman, XPS and TEM analysis. And, the electric and optical properties were analyzed by a TFT fabrication and photoluminescence spectroscopy, respectively. The high performance of TFTs, on/off ratio of over 107 and saturation electron mobility of over 10 cm2/V·s, and the low-temperature process indicate the possible use of the hybrid films to flexible devices.

AF-TuP-12 Low Temperature Atomic Layer Deposition of Yttrium Oxide using Plasma Excited Humidified Argon
Kentaro Saito, Kentaro Tokoro, Kensaku Kanomata, Masanori Miura, Bashir Ahmmad, Shigeru Kubota, Fumihiko Hirose (Yamagata University, Japan)

Yttria (Y2O3) is attracting much attention since this material has an excellent corrosion resistance. In the conventional technologies, Y2O3 films were deposited by thermal atomic layer deposition with temperatures exceeding 250˚C, where the high temperature process is the biggest obstacle for the organic electronics applications. We newly developed an atomic layer deposition (ALD) of Y2O3 using tris(butylcyclopentadiyl)yttrium ((BuCp)3Y) and plasma excited humidified argon and succeeded in Y2O3 film formation at 150˚C and room temperature (RT).

In the experiments, we used a double-sided polished p-type Si (100) substrate with a resistivity of 10 Ωcm as a sample. The sample size was 10 × 45 mm2. To confirm the Y2O3 formation, we carried out an atomic ratio analysis of Y2O3 film by X-ray photoelectron spectroscopy (XPS). The XPS shows the Y3d peak of the film when the ALD was performed with 60 cycles with a precursor exposures of 200000 L and an oxidation time of 10 min at 150˚C or RT. These were close to the full oxidized peak position. It is considered that Y2O3 was grown at both 150˚C and RT. To determine the growth per cycle of the Y2O3 film, the film thicknesses were measured by spectroscopic ellipsometry. The growth per cycle of Y2O3 at 150˚C and RT are recorded 0.06 nm/cycle and 0.6 nm/cycle, respectively. The growth per cycle at RT is 10 times larger than that of 150˚C. We consider this is advantageous for the application of Y2O3 film as anticorrosion films.

AF-TuP-13 Fabrication of Zeolite Thin Films by Room-temperature Atomic Layer Deposition
Yoshiharu Mori, Yusuke Noguchi, Kensaku Kanomata, Masanori Miura, Bashir Ahmmad, Shigeru Kubota, Fumihiko Hirose (Yamagata University, Japan)

In recent years, zeolite thin films are applied in various fileds such as ion absorbers. The zeolite films were deposited by hydrothermal synthesis although it requires high temperature processes. In addition, it is difficult to control the film thickness in nanometer scale. We newly developed room temperature ALD of zeolite using tris [dimethylamino] silane (TDMAS), trimethylaluminum (TMA) and plasma-excited humidified Ar. We demonstrate the adsorption ability of Na and K cations. We show the results of the Na adsorption test. It is confirmed that the adsorption ability of the zeolite thin film was larger than pure SiO2 or Al2O3 . We also demonstrate the ion exchange properties using zeolite thin film formed by this method. The ion exchange rate from Na to K is recorded as 62 % at room temperature for 30 minutes.

AF-TuP-14 Atomic Layer Deposition of Cuprous Oxide Thin Films using bis(1-dimethylamino-2-methyl-2-butoxy)Copper Precursor
Seungmin Yeo (Korea Research Institute of Chemical Technology(KRICT), Republic of Korea); Jeong Hwan Han (Seoul National University of Science and Technology, Republic of Korea); Bo Keun Park, Chang Gyoun Kim, Taek-Mo Chung (Korea Research Institute of Chemical Technology (KRICT), Republic of Korea)

Copper oxide is very attractive material due to its many advantages of non-toxicity, earth-abundance, low cost and direct band gap. Specifically, cuprous oxide (Cu2O) is known to have band gap of 2.1 ~ 2.6 eV, carrier concentration of ~ 1016 cm-3, hole mobilities of ~ 100 cm2/Vs at room temperature. Owing to these properties, Cu2O thin films have been investigated for various applications such as gas sensor, photodiode, anode materials in batteries, thin film transistors (TFTs), solar cells and photo-catalysts.

In this study, Cu2O thin films were grown by atomic layer deposition (ALD) using bis(1-dimethylamino-2-methyl-2-butoxy)copper (C14H32N2O2Cu) and H2O vapor as precursor and reactant, respectively. Several ex-situ characterizations such as X-ray diffractometry (XRD), X-ray photoelectron spectroscopy (XPS), and X-ray fluorescence (XRF) were performed in detail to investigate the properties of Cu2O films on SiO2/Si substrate. All the analysis results clearly showed that polycrystalline with cubic structure and pure with negligible C impurity Cu2O thin films were successfully achieved using Cu(dmamb)2/H2O chemistry. It was also observed that self-limiting film growth with the growth rate of 0.04 nm/cycle was observed with varying the precursor and reactant pulsing time. The XRD results of the ALD Cu2O film showed specific six peaks corresponding to the cubic Cu2O structure. The XPS analysis strongly supports that the films deposited with the optimal conditions in this study mostly consist of the Cu2O phase, which is well matched with XRD results. From the Tauc plot, estimated band gap of ALD-Cu2O film was ~ 2.3 eV. We believe that ALD Cu­2O films can be applied to various devices including TFTs, catalyst, anode material in batteries, etc.

AF-TuP-15 Recent Development of Group 4 Transition Metal Precursors for ALD
Ga Yeon Lee, Chang Gyoun Kim, Bo Keun Park, Taek-Mo Chung (Korea Research Institute of Chemical Technology (KRICT), Republic of Korea)

In the case of dynamic random access memory (DRAM), capacitor dielectrics have required new dielectric materials with a higher k-value than that of traditional SiO2. HfO2 and ZrO2 have been extensively investigated as the gate dielectric oxide or the capacitor dielectrics.

A series of novel group 4 transition metal complexes containing amino-alkoxy as stabilizing ligands, were synthesized for the deposition of metal dioxide. The new heteroleptic group 4 metal precursors have two amino ligands and alkoxide ligands containing nitrogen donor.

The synthesized compounds were characterized by FTIR and NMR spectroscopy as well as elemental and thermogravimetric (TG) analysis. The molecular structures of compounds were inspected by single crystal X-ray diffraction, displaying that they crystalized in the monoclinic space group P2(1)/n as monomer.

AF-TuP-16 SAOLs-Al2O3 Nanolaminated Thin Films with Ultrahigh Gas Barrier Performance using Molecular Layer Deposition and Atomic Layer Deposition
Jinseon Park, Myung Mo Sung (Hanyang University, Republic of Korea)
Organic light-emitting diodes (OLEDs) have emerged as a potent candidate for next-generation displays due to their multiple advantages. However, the life span of OLEDs are limited because of their sensitivity to moisture and air. So one of the major challenges in OLEDs industry is the development of protection film with extremely low moisture and oxygen permeabilities. For commercial applications, the water-vapor transmission rate (WVTR) of approximately 10−6 g m−2 day−1 is generally cited as the minimum requirement to assure adequate lifetime stability for most OLED devices. Herein, we presents a novel organic−inorganic nanolaminated thin films that combine self-assembled organic layers (SAOLs) with an inorganic Al2O3 layer through MLD and ALD, respectively. This SAOLs- Al2O3 thin film achieved a high degree of mechanical flexibility, excellent transmittance (> 95%), and an ultralow WVTR (2.99 × 10−7 g m−2 day−1), which represents one of the lowest permeability levels ever achieved by thin film encapsulation. Furthermore, Modulation of the relative thickness ratio of the SAOLs and Al2O3 enabled control over the elastic modulus and stress in the films. On the basis of its outstanding barrier properties with high flexibility and transparency, the nanolaminated film was applied to a commercial OLEDs panel as a gas-diffusion barrier film. This demonstrated excellent encapsulation performance, leading to remarkably high durability of the panel in air.
AF-TuP-17 Remote Plasma Enhanced-atomic Layer Deposition of SiON Thin Films with a High Growth Rate (> 0.25 nm/cycle) using Novel Si Precursor
Dae Hyun Kim, Han Jin Lee, Hyun Soo Jin (Hanyang University, Republic of Korea); Hyung Kun Lee, Jeongsik Kim, Min Ja Yoo, Taewook Kim, Jun Young Kim, Mingun Lee, Kyu Sung Cho, Jae Woo Lee, Jaehyun Kim (Dongjin Semichem, Republic of Korea); Tae Joo Park (Hanyang University, Republic of Korea)

Silicon oxide (SiO2) and silicon nitride (Si3N4) thin films have been widely used in semiconductor industry as insulating and dielectric materials for electronic devices. Recently, atomic-layer-deposited (ALD) SiO2 and Si3N4 films are used as a physical and electrical passivation layer such as a gate spacer in metal-insulator-semiconductor field-effect transistor and moisture protective layer in flat display panels, and hard mask layer in self-aligned double patterning process. For these processes, the requirements on materials properties and process conditions are quite stringent in common; high growth rate, low process temperature, high physical density, and high physical/chemical uniformity. Especially, low growth rate of ALD film is a critical drawback in view point of mass-production.

Meanwhile, in an era of 3-dimensional device integration the considerable part of direct plasma enhanced ALD (PEALD) processes would be replaced with remote PEALD processes, because physical and chemical non-uniformity become more critical as well as plasma damage on a substrate.

Therefore, in this work, we demonstrated a high growth rate over 0.25 nm/cycle of PEALD SiON films using new Si precursor and ICP-type remote plasma such as N2 and NH3 plasma. Furthermore, SiON films can be grown at the temperature lower than 100oC. The experimental results will be presented in detail.
AF-TuP-18 Automation of Reactivity Modelling in Thin Film Process Chemistry
Thomas Mustard, H. Shaun Kwak, Leif Jacobson, Art Bochevarov (Schrödinger, Inc.); Simon D. Elliott (Schrödinger, Inc., Ireland)

Modern day modeling and simulation of reactive pathways for individual ALD processes often relies on quantum mechanical predictions of energetics of stationary points on the potential energy surface. The predicted free energies of equilibrium states provide direct insight into thermodynamics of the ALD process whereas the transition state barrier heights among them provide control over the kinetics. Finding the relative kinetics of competing reaction steps is particularly useful for understanding saturating reactions, thermal decomposition and selective-area ALD. Unlike computing the energetics of equilibrium stationary points, however, finding transition states of new reaction pathways for these complex chemistries has widely been acknowledged as labor-intensive, non-transparent, and even an art-like process owing to the extreme nonlinearity of the energy and configuration space. In this work we present a fully automated formalism based on density functional theory (DFT) calculations designed to find a transition state between equilibrium surface structures along key ALD reaction pathway. The new automated transition-state search algorithm, referred to as AutoTS1, has already been presented with its recent success in finding thousands of transition states and predicting reactivity in a wide variety of reactions in purely organic systems such as the Michael addition, Diels-Alder cycloaddition, and hydrogen abstraction. Here we extend our method to reactions relevant to ALD chemistry, particularly those involving semimetal and metal precursors. Examples are presented using a selection of Si and Al half-reactions, and validated against manually calculated and characterized results. The novel automated transition state search can be combined with such techniques as virtual screening and generation of novel precursor libraries, promising faster research and development of new ALD precursor chemistry with tunable processing parameters.

1L.D. Jacobson, A.D. Bochevarov, M.A. Watson, et al., “Automated Transition State Search and Its Application to Diverse Types of Organic Reactions”, J. Chem. Theory Comput., 2017, 13 (11), pp 5780–5797; DOI: 10.1021/acs.jctc.7b00764

AF-TuP-19 Development of High Speed Flow Metering on Pulsed Delivery Systems for ALD and ALE Applications.
Patrick Lowery, Thomas Hoke (HORIBA); Hiroshi Nishizato (HORIBA, Japan)

Atomic layer processes, such as atomic layer deposition ( ALD ), atomic layer etch ( ALE ), and selective deposition/ etch processes are the becoming some of the predominant methods used to achieve new device geometry shrinks with smaller lithographic nodes. Current fluid delivery solutions used with these atomic layer processes are challenging due to very fast delivery time or pulsation intervals, and the chemical vapors used in ALD processes have to be delivered at elevated temperatures with highly volatile and reactive organometallic chemistries. In this study, we look at the development and implementation of new technologies into pulsation gas delivery systems for ALD/ALE applications. A high speed piezoelectric actuated valve solution allows for fast pulsation time control resolution of less than 10msec, can provide feedback enabled and settable waveform control, and will enable accurate precursor concentration, injection time control, and repeatability. This additional fast flow metering capabilities will allow for precise process calculations, and could greatly reduce precursor waste thru improved injection timing for purge steps, and reduction of excess precursor due to oversaturation of ALD chamber.

AF-TuP-20 Combined XPS-UPS Study of Conduction and Valence Band Offsets for Al2O3/HfO2 High-k Dielectric Nanolaminates Grown on Single-crystal GaN and Ga2O3 by Atomic Layer Deposition
David J. Mandia, Bahadir Kucukgok (Argonne National Laboratory); Jian Liu (Northwestern University); Joseph Libera (Argonne National Laboratory); Jacob Leach (Kyma Technologies, Inc.); Angel Yanguas-Gil, Jeffrey W. Elam (Argonne National Laboratory)

The search for adequate binary metal oxide dielectric nanolaminates (NLs) to prevent degradation of power semiconductor devices is ongoing and involves the atomic layer deposition (ALD)-growth of a variety of binary metal oxide combinations. In the present work, we explore the ALD growth of amorphous (x)HfO2/(y)Al2O3 NLs on Si (with native SiO2 layer) substrates and then on both GaN and Ga2O3 single crystals. A variety of samples ranging from their homogeneous mixtures to HfO2 or Al2O3-rich NLs are assessed before and after a thermal annealing by spectroscopic ellipsometry (SE), XAS techniques such as X-ray photoelectron spectroscopy (XPS) and X-ray absorption fine structure (EXAFS) measurements in order to elucidate the structural evolution of the NL at the GaN (or Ga2O3)-NL interface. By quantifying the HfO2 incorporation throughout the Al2O3 layer and using the programmable nature of ALD to alternate layers of the HfO2 and Al2O3 in an (AB)x-(CD)y fashion, the influence of HfO2 mobility within Al2O3 layer on the NL dielectric constant can be verified unequivocally. EXAFS is a powerful tool for determining the local coordination environment of the Hf at the GaN or Ga2O3(001)-HfO2 interface and, at low super-cycle numbers (sub-nm scale), the ultimate stability of the NLs can be probed and optimized such that the bulk material properties are retained. Finally, via a modified Kraut’s method,1 Ultraviolet photoelectron spectroscopy (UPS) is used to obtain the valence band maximum of the GaN and Ga2O3 substrates and combined with the high-resolution XPS data for the Hf and Ga shallow core-level photoelectrons ejected from the thin HfO2/Al2O3 overlayer in order to assess the conduction band offset (CBO) at the film-substrate heterojunction. Probing the insulator properties imparted by the high-k overlayer on the wide bandgap semiconductor surfaces of GaN and Ga2O3 is crucial in order to understand and prevent the degradation problem in Ga2O3/GaN-based power semiconductor devices. Moreover, photoluminscence (PL) studies of the coated and pristine samples will corroborate the effect of the bulk defect concentration on the conduction/valence band properties of the material.

1 E.A. Kraut, R.W. Grant, J.R. Waldrop, S.P. Kowalczyk, Phys. Rev. Lett. 44, 1620 (1980).

AF-TuP-21 Low Temperature Thermal ALD of Pt Films with Novel Precursor
Jacqueline Wrench, Yixiong Yang, Wei Tang, Naomi Yoshida, Paul Ma (Applied Materials); Takao Enomoto, Ryosuke Harada (Tanaka, Japan)

Platinum (Pt) has attracted considerable attention for applications in sensing, catalysis and nanoelectronics due to its excellent electric and catalytic properties.1,2 For use in these applications, uniform, thin films on high aspect ratio structures are necessary making ALD an ideal technique for deposition. The conventional ALD process of Pt films using MeCpPtMe3 and oxygen deposits at processing temperatures >250°C.3 Lower temperature deposition requires plasma or O3 to achieve which can cause severe damage to substrates.4

In this work, a novel precursor deposited Pt films at 130°C in an ALD process with H2. The film demonstrated a clear preference for deposition on metallic substrates with a growth rate of 0.3Å/cyc on in situ TiN substrate (fig 1). The films are highly pure Pt(111) with <5% C content and an RMS roughness of 0.3 nm (fig 2). Step coverage on TiN substrates for 30Å Pt films of >95% was achieved making this a useful low temperature, non-destructive ALD procedure.

References:

  1. E.Ticianelli, J. Electroanal. Chem, 1988, 251, (2), 275

  2. S. J. Pearton, F. Ren, Prog in Mat Sci, 2010, 55 (1), 1

  3. T. Aaltonen, M. Ritala et al, Chem. Mater, 2003,15, (9), 1924

  4. J. Dendooven, R. K. Ramachandran et al, J. Phys. Chem. C,2013, 117 (40), 20557

View Supplemental Document (pdf)
AF-TuP-22 By-product HCl Influence on SiN ALD Process using Chlorine-containing Silicon Precursor
Hayato Murata (Taiyo Nippon Sanso Corporation, Japan); Nobuo Tajima (National Institute for Materials Science, Japan); Katsumasa Suzuki (Taiyo Nippon Sanso Corporation, Japan)

We found by-product HCl was an inhibitor on the thermal ALD process using chlorine-containing silicon precursor to form the high-quality silicon nitride (SiN) film.

Recently, high-quality SiN films formed below 400°C are required for next-generation semiconductor devices. We have studied the SiN ALD reaction mechanism using ammonia (NH3) and dichlorosilane (DCS, SiH2Cl2) or hexachlorodisilane (HCDS, Si2Cl6), and found HCDS/NH3 was better to form SiN films under lower deposition temperature than DCS/NH3[1]. On the other hand, low-temperature deposition results in degradation of the SiN film quality in general. Therefore, we focused on HCl, by-product, effect to film quality.

We calculated activation energies (ΔEa) and enthalpies of formation (ΔHf) using quantum chemical calculation to discuss the HCl’s reactivity to the nitrided surface structures which were formed by DCS/NH3 or HCDS/NH3. The calculation was performed by B3LYP density functional with cc-pVDZ basis set. Table 1 shows ΔEa and ΔHf calculated for chemisorption reactions. Firstly, for the case of HCDS/NH3, ΔEa of HCDS chemisorption reaction to >NH surface structure was 57 kJ/mol. ΔEa of HCl reaction to the HCDS/NH3 nitrided surface structure was 56 kJ/mol. So, we found ΔEa’s are nearly equal in both reactions. On the other hand, according to the ΔHf values, the HCl reaction is exothermic and the HCDS chemisorption reaction is endothermic. We got similar results in DCS/NH3. These results indicate the HCl reaction, dry etching of >N-Si bond, is faster than HCDS chemisorption. In addition, the by-product HCl of HCDS/NH3 process might be generated more than that of DCS/NH3 process, because HCDS has three times more Si-Cl bond than DCS. And we suppose that HCDS/NH3 is susceptible to by-product HCl and form rough thin film easily.

From the above, we propose the necessity to develop HCDS/NH3 process condition to minimize the influence of the by-product HCl.

Reference

[1] H. Murata et al., 38th Int. Symp. on Dry Process (2016) E-2.

View Supplemental Document (pdf)
AF-TuP-23 Conformality Measurement Needs and Challenges: Survey among ALD professionals
Mikko Utriainen, Riikka L. Puurunen (VTT Technical Research Centre of Finland)

Conformality is a core value proposition of Atomic Layer Deposition (ALD) and related thin film processing methods. However, conformality is challenging to measure and quantify and standardized methods do not exist either.

This study focuses to identify specific needs and problems in the conformality measurements. The method was a survey and questionnaire. Responders were ALD or other thin film R&D and process engineering experts (N=45), representing academic (N=24) and industry (N=21) and geographically 55% Europe, 25% North America, 20% unknown.

All responders considered that it is important or very important to measure conformality, however, only 38% were satisfied with their present measurement methodology. The dominant method is SEM/TEM analysis from microscopic vertical trenches or other high aspect ratio structures. Only few uses alternative approaches (e.g. macroscopic lateral stacks or indirect methods) and those more frequently in academy than in industry. Some responders also state that they try to avoid conformality measurements.

Survey showed that the most important attribute was the reliability and accuracy (98% responded 4-5, in the range 1-5) followed by availability of test structures (87%). Lowest success in the present methodology was the speed of measurement (84% responded 1-3, in the range 1-5), followed by cost (71%) and availability of test structures (67%).

Responders also described their main challenges. Slow speed is due to tedious (cross-sectional) sample preparation, inaccurate dimensional analyses and multiple sample fractions. Test structures should be comparable to dimensions of real substrates, provide variable 3D dimensions and be available at low cost. Avoidance of breaking valuable wafers was also mentioned. Alternative self-made stacks have challenges e.g. in processing condition compatibility. Other accuracy challenges were low film thickness, inadequate resolution in SEM and missing surface area factor. Responses also pointed out the need of mapping the details on the trench wall/ 3D surface, like morphology, composition, evolution of the film and properties of the film.

Significant gap between high importance and low satisfaction of the current measurement approaches leads to conclude that there is a strong need among professionals to get improvements. In this respect, new innovations or joint activities in standardization can support the whole ALD community.

ACKNOWLEDGEMENT

The survey was carried out in 2017 by VTT. It was part of the PillarHall® Lateral High Aspect Ratio test structure development project, funded by Finnish National Innovation Funding Agency, without any commitments to commercial entities.

AF-TuP-24 AlN Films Prepared by PEALD using Different Plasma Sources
Małgorzata Kot (BTU Cottbus-Senftenberg, Germany); Franziska Naumann (SENTECH Instruments GmbH, Germany); Samiran Garain, Emilia Pożarowska, Zied Rouissi (BTU Cottbus-Senftenberg, Germany); Hassan Gargouri (SENTECH Instruments GmbH, Germany); Karsten Henkel, Dieter Schmeißer (BTU Cottbus-Senftenberg, Germany)

Aluminum nitride (AlN) has remarkable properties such as wide band gap, low electrical conductivity, high dielectric constant, low thermal conductivity, and shows piezoelectric behavior. Thus, thin AlN films are promising for a broad range of applications in the field of (opto)electronics and sensors, to name a few.

We present a comparative study of thin AlN films grown by plasma-enhanced atomic layer deposition (PEALD) at 350°C on silicon 8” wafers in the SENTECH SI ALD LL system [1,2]. As precursor and co-reactant trimethylaluminium and ammonia were used, and either a capacitively coupled plasma (CCP) or a direct PTSA (planar triple spiral antenna) source was applied.

The films were characterized by ellipsometry, X-Ray diffraction, field emission scanning electron microscopy, atomic force microscopy, X-Ray photoelectron spectroscopy, and electrical measurements. The layer properties are discussed concerning the varied PEALD process parameters (plasma source, plasma power, plasma pulse duration).

In general, the films prepared with the usage of the direct PTSA plasma source posses higher refractive index and better homogeneity over the wafer in comparison to the process applying the CCP source. Furthermore, higher growth rates per cycle (GPC) in reduced total cycle durations were achieved by the PTSA process. Films with refractive index in the range of 2.07 and permittivity around 8 were realized with a GPC of 1.54 Å/cycle.

[1] J. Haeberle, K. Henkel, H. Gargouri, F. Naumann, B. Gruska, M. Arens, M. Tallarida, and D. Schmeißer, Beilstein J. Nanotech. 4, 732 (2013).

[2] K. Henkel, H. Gargouri, B. Gruska, M. Arens, M. Tallarida, and D. Schmeißer, J. Vac. Sci. Technol. A 32, 01A107 (2014).

AF-TuP-25 Feature-Scale Simulation of ALD: Steric Hindrance and Under-Exposure Effects
Paul Moroz (TEL Technology Center, America, LLC)

Progress in the semiconductor industry allows ever shrinking feature dimensions, in some degree, due to application of such methods as Atomic Layer Deposition (ALD) and Atomic Layer Etching (ALE). Here we are concerned with the ALD processes, and would like to note that while the number of ALD experimental achievements and applications grow dramatically [1-2] since as far back in time as the 1960s – 1970s [3], the realistic simulation of ALD processing is well behind due to significant difficulties. Among major difficulties are the requirements to simulate evolution of relatively large features with the accuracy of a single monolayer, often in addition to very low sticking probabilities and the requirement of high gas pressures when incident fluxes are very large. Another difficulty for simulations comes from the fact that in most ALD cases the rate of deposition is so low that a single monolayer is deposited only after a few identical cycles. In spite of that, a number of semi-analytic models for ALD processes were reported (among recent see, for example [4-5]), However, to our knowledge, so far only simulations via the FPS3D code reported in [6-9], have the capability of modeling the temporal evolution of feature profiles during a general ALD processing. In current presentation, the results of ALD simulations are demonstrated in detail. The non-ideal effects of roughness, film composition change, and reduced step coverage along the surface might appear in conditions of time-exposure limitations and large aspect ratios. Those effects are simulated during profile evolution due to FPS3D. A special role which steric hindrance could play during ALD processes is demonstrated, and computational methods used for simulations are outlined.

References:

1. S. M. George, Chem. Rev. 110, 111 (2010).

2. V. Miikkulainen, M. Leskela, M. Ritala, R. L. Puurunen, J. Appl. Phys. 113, 021301 (2013).

3. E. Ahvenniemi et al., J. Vac. Sci. Techn. A 35, 010801-1 (2017).

4. M. C. Schwille, T. Schössler, J. Barth, M. Knaut, F. Schön, A. Höchst, M. Oettel, J. W. Bartha,

J. Vac. Sci. Tech. A 35, 01B118 (2017).

5. W. Jin, C. R. Kleijn, and J. R. Ommen, J. Vac. Sci. Tech. A 35, 01B116 (2017).

6. P. Moroz, 15th Int. Conf. Atomic Layer Deposition, Portland, OR, USA (2015).

7. P. Moroz, D. J. Moroz, p. 167 in Proc. of AVS 62nd Int. Symp., San Jose, CA, USA (2015).

8. P. Moroz, D. J. Moroz, p.237-239 in Proc. of 22nd Int. Conf. Sim. Semi. Proc. & Dev.,

Kamakura, Japan (2017).

9. P. Moroz, D. J. Moroz, Japan. J. Appl. Phys. 56, 06HE07 (2017).

AF-TuP-26 Novel Tungsten ALD Precursors Supported By Chelating Nitrogen-Based Ligand Frameworks
Keith Huynh, Christopher Brown, Jonathan Dube (Digital Specialty Chemicals, Canada); Thomas Knisley, Jeffrey Anthis, Mark Saly (Applied Materials)

Tungsten-based thin-films have found widespread applications in advanced microelectronics devices. Gate contacts composed of tungsten metal or tungsten silicide have been reported, while tungsten nitride films are useful as a copper diffusion barrier layers due to their inherent high density. In addition to microelectronics, tungsten carbide thin-films are refractive materials that have high melting points, are chemical resistant with high hardness, which lend applications in aerospace as coatings and turbine components. Thin-films containing tungsten are achieved via deposition techniques such as chemical vapor deposition (CVD) or atomic layer deposition (ALD) where controlled growth of film thickness and conformality are achieved by process design and precursor development. The bench-marking precursor in CVD/ALD applications has been tungsten hexafluoride; yet in recent years, focus has been placed on developing fluoride-free organometallic tungsten precursors due to the release of toxic HF during CVD/ALD processes, and its propensity to induce unwanted etch in other surfaces. To this end, we have centered our attention in developing organometallic tungsten precursors based on a bis-tert-butylimido motif. This poster will focus on our developments in bis-tert-butylimido tungsten complexes supported by chelating nitrogen-based ligands and their effect in enhancing volatility and thermal stability. Initial findings reveal candidates that exhibit single-step weight losses and clean sublimations; which have the potential to displace current state-of-the-art for tungsten thin-film deposition.

AF-TuP-27 Growth of Titanium Nitride by PE-ALD: Effects of Intermittent Argon Plasma Exposure
Kahraman Keskinbora, Gül Dogan, Umut Tunca Sanli (Max Planck Institute for Intelligent Systems, Germany); Helmut Karl (University of Augsburg, Germany); Gisela Schütz (Max Planck Institute for Intelligent Systems, Germany)

Titanium nitride (TiN) films have been heavily studied for many applications ranging from protective coatings to diffusion barriers and more recently to refractory plasmonics. Polycrystalline TiN thin films have been already produced via atomic layer deposition (ALD) which offers unique capabilities like deposition of highly conformal coatings over complex geometries, accurate thickness and composition control. However, highly textured films are more preferable in terms of improved optical and electrical properties. The adatoms during film growth need to have sufficient mobility in order to enhance crystalline quality. Due to that thin film growth is generally carried out at high temperatures. However, this gives rise to desorption of the deposited material in ALD processes. Alternatively, a plasma treatment can be applied to improve crystallinity at low substrate temperatures [1]. In a recent study by Shih et. al. low-temperature epitaxial growth of aluminum nitride (AlN) was demonstrated via ALD. In each ALD cycle, an additional in-situ plasma treatment was performed. They denoted this process step as “atomic layer annealing (ALA)” [2]. It was proposed that ALA treatment could enhance the adatom movement and migration at the surface, which is favorable for improved crystallization of the deposited films. In this study, we applied the ALA approach for the growth of TiN thin films with favorable chemical and electrical properties.

TiN thin films were deposited on sapphire (0001) substrates at 500°C by plasma-enhanced atomic layer deposition (PEALD) using TiCl4 and N2-H2 plasma. TiN thin films were exposed to Ar plasma for 40 s after each ALD cycle to achieve a highly textured structure. The deposition rate was around 0.29 nm/cycle according to XRR analysis and the linear increase of thickness as a function of number of cycle was observed by in-situ spectroscopic ellipsometry (SE) confirming the ALD type growth. The structural analysis was carried out by X-ray Diffraction (XRD) technique. A low resistivity of 168 µohm.cm was achieved thanks to highly crystalline structure and a low chlorine content revealed by X-ray photoelectron spectroscopy (XPS). Further structural and chemical analysis results and their relation to the achieved properties will be discussed.

1. Mattox, D. M. (1989). "Particle bombardment effects on thin‐film deposition: A review." Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films7(3): 1105-1114.

2. Shih, H.-Y., et al. (2017). "Low-temperature atomic layer epitaxy of AlN ultrathin films by layer-by-layer, in-situ atomic layer annealing." Scientific Reports7: 39717

AF-TuP-28 Plasma-assisted Atomic Layer Deposition of Phosphorus Oxide
Bodo Kalkofen, Basheer Ahmed, Mindaugas Silinskas (Otto von Guericke University, Germany); Svetlana Beljakowa (Friedrich-Alexander-Universität, Germany); Bernd Garke (Otto-von-Guericke University, Germany); Marco Lisker (IHP, Germany); Edmund Burte (Otto von Guericke University, Germany)

Plasma-assisted atomic layer deposition (PALD) of thin films of phosphorus oxide on silicon substrates was carried out. The intention of our work is to precisely deposit a finite dopant source on the semiconductor material for creating ultra-shallow junctions close to the surface after subsequent rapid thermal annealing. Phosphorus oxide is known to be extremely sensitive to water vapor. Probably, this is the reason why no recent reports on ALD processes for this material were found in the literature.

The experiments were carried out in a commercial PALD reactor build by Sentech Instruments GmbH Berlin. Tris(dimethylamido)phosphine (TrisDMAP) was used as phosphorus precursor. Dosing was achieved by “vapor-draw” into a nitrogen carrier flow by fast acting valves. Oxygen radicals in the PALD processes were generated by a remote inductively coupled plasma source. Typical process parameters for the phosphorus oxide deposition were: TrisDMAP: 50°C source temperature, 10 ms pulse time, 5 s purge time; oxygen plasma: 50 W, 5 s pulse time, 2 s purge time. Growth per cycle of 0.1 to 0.16 nm was typically achieved. The ALD window for different process temperatures and saturation behavior was investigated by varying the relevant process parameters.

The phosphorus oxide films were, as expected, highly unstable in ambient air and needed to be stabilized for further ex-situ investigation by mixing them with stable oxides (e.g. antimony oxide) or by applying capping films of varying thickness. The films and film stacks were measured by spectroscopic ellipsometry and composition of the film stacks was analyzed in detail by x-ray photoelectron spectroscopy (XPS) and secondary-ion mass spectrometry (SIMS).

AF-TuP-29 Isotopic Tracing of Hydrogen and Oxygen Exchange Reactions in Al2O3 Thin Films
Sami Kinnunen, Kai Arstila, Timo Sajavaara (University of Jyväskylä, Finland)

ALD thin films can be used as moisture and gas diffusion barriers [1]. In this work hydrogen and oxygen isotope exchange reactions accompanied by diffusion were studied in ALD Al2O3 thin films on silicon substrate. Films were deposited using trimethylaluminum and deuterated water, 2H216O, and oxygen-18 enriched water, 1H218O. Similar isotopic tracing has been used only in post-deposition treatments [2]. After deposition films were annealed at low temperatures in moist conditions in order to accelerate diffusion and isotope exchange reactions. For example deuterium incorporated in the films is exchanged to hydrogen even in room temperature and normal humidity conditions. In addition, post-deposition annealing was made in 16O2-atmosphere. Elemental composition of the films was investigated before and after the post-deposition treatment using ToF-ERDA (Time-of-Flight Elastic Recoil Detection Analysis) measurements. ToF-ERDA can resolve different isotopes of an element and enables tracing of hydrogen and oxygen diffusion in the films. Morphology of the films was studied with HIM (Helium Ion Microscopy).

[1] M. D. Groner, S.M. George, R. S. McLean and P. F. Carcia. Gas diffusion barriers on polymers using Al2O3 atomic layer deposition. Appl. Phys. Lett. 88, 051907 (2006)

[2] T. Nabatame , T. Yasuda , M. Nishizawa , M. Ikeda, T. Horikawa and A. Toriumi. Comparative Studies on Oxygen Diffusion Coefficients for Amorphous and γ-Al2O3 Films using 18O Isotope. Jpn. J. Appl. Phys. Vol. 42 (2003) pp. 7205–7208

AF-TuP-30 Plasma Source Diagnostics for Plasma Assisted Atomic Layer Deposition
David Boris, Virginia Wheeler (U.S. Naval Research Laboratory); Virginia Anderson (Kennesaw State University); Neeraj Nepal (U.S. Naval Research Laboratory); Samantha G. Rosenberg (American Association of Engineering Education); Alexander C. Kozen (The American Society for Engineering Education); Jennifer Hite, Scott Walton, Charles R. Eddy, Jr. (U.S. Naval Research Laboratory)

Plasma assisted atomic layer deposition (PA-ALD) is a low temperature conformal layer-by-layer deposition technique that is based on a pair of self-terminating and self-limiting gas-surface half-reactions, in which at least one half-reaction involves species from a plasma. This approach generally offers the benefit of substantially reduced growth temperatures and greater flexibility in tailoring the gas phase chemistry to produce varying film characteristics. The flexibility and lower growth temperatures that plasmas provide come at the cost of a complex array of process variables that often require great care on the part of the user.

In response to this challenge, this work focuses on the use of plasma diagnostics to inform the choice of process conditions for PA-ALD systems. In this work we employ optical emission spectroscopy, vacuum ultra-violet emission spectroscopy and charged particle collectors to characterize the plasma source of a Fiji 200 (Veeco) PA-ALD tool. In particular, we assess the total ion flux reaching the substrate surface, the relative flux of VUV photons reaching the surface, and the relative fractions of atomic and molecular species generated in the plasma under a variety of pressures and gas input flow fractions. This work is relevant to the growth conditions for plasma enhanced atomic layer expitaxy of AlN, InN, TiO2, and Ga2O3 films.

AF-TuP-31 How ALD has Changed: Analyzing Topic Evolution through Text Mining
Elsa Alvaro (Northwestern University); Angel Yanguas-Gil (Argonne National Laboratory)

Using a combination of bibliometric, social network, and text analysis, we recently examined the rate of knowledge production as well as changes in authors, journals, and collaborators, in the field of atomic layer deposition [1]. As a result of this study, we compiled a dataset comprising more than 11,000 individual papers published between 1981 and 2015.

In this work, we analyze the content of the abstracts in our ALD dataset by using topic modelling and other text mining tools. The result provides information on the topics or hidden semantic themes present in our document collection; the study of the evolution of those topics over time can help further understand the history of ALD. In addition, we focus on extracting chemical compounds present in the abstracts as a way of identifying different precursors and ligands in the ALD literature.

[1] Alvaro E, Yanguas-Gil A (2018) Characterizing the field of Atomic Layer Deposition: Authors, topics, and collaborations. PLoS ONE 13(1): e0189137. https://doi.org/10.1371/journal.pone.0189137

AF-TuP-32 Ruthenium Atomic Layer Deposition on Platinum using the ToRuS Precursor
Daniel Potrepka (U.S. Army Research Laboratory); Nicholas A. Strnad (University of Maryland); G. Bruce Rayner (Kurt J. Lesker Company)

The Ru precursor ToRuS was developed to provide for the growth of Ru thin films at substrate temperatures of 75-100°C. Preventing deleterious Ru film accumulation in a hot-wall reactor while providing sufficient ToRuS reactivity for the deposition of Ru onto the substrate is difficult due to the limitation imposed on the wall temperature by this low-temperature ToRuS ALD window which, in turn, limits the effectiveness of the purge following the ToRuS dose. In contrast, a Ru precursor with an ALD window of 100-150°C has been successfully used to deposit a Ru seed layer onto a metal contact for suitable Cu growth in FERAM and DRAM metal-contact processes [1].

In this study, nanoscale Pt films were obtained by flash RF sputtering depositions that used short RF plasma times to obtain 3-5 nm thick Pt films. The ToRuS precursor and H2 co-precursor were then used to thermally deposit Ru with a 100°C substrate temperature, 21 °C ampoule temperature, and 40°C chamber and line temperature. The process used will be described and methods for overcoming observed challenges discussed. An analysis of the Ru deposition on the Pt will be presented. Ru growth conditions on 500 nm thermal SiO2, obtained using the same deposition conditions, will be analyzed to inform our assumptions of growth rate on Pt. Sample characterization techniques used in this work include in-situ and ex-situ spectroscopic ellipsometry, sheet resistance, and scanning electron microscopy.

[1]H.-J. Lee, S. Nabeya, T.E. Hong, R. Harada, and S.-H. Kim, 2017 IEEE International Technology Conference: https://ieeexplore.ieee.org/document/7968963/

AF-TuP-33 Plasma-Enhanced Atomic Layer Deposition of Ruthenium Using Ru(EtCp)2 & O2-Plasma on Platinum
G. Bruce Rayner, Jr. (The Kurt J. Lesker Company); Blaine Johs (Film Sense); Bangzhi Liu (The Pennsylvania State University); Noel O’Toole (The Kurt J. Lesker Company); Daniel Potrepka (U.S. Army Research Laboratory)

Ruthenium is a noble metal that continues to be of considerable interest as an electrode material for microelectronic device applications. Thermal and plasma-enhanced atomic layer deposition (PEALD) of Ru using molecular O2 gas and O2-plasma requires controlled exposure conditions to ensure the elemental phase is obtained. The Ru precursor utilized for this work was bis(ethylcyclopentadienyl)ruthenium [Ru(EtCp)2].

To address nucleation delay during Ru PEALD using Ru(EtCp)2 and O2-plasma at 300°C, platinum seed layers were used as starting surfaces for subsequent Ru PEALD nucleation and growth [1]. Pt PEALD using trimethyl(methylcyclopentadienyl)platinum (MeCpPtMe3) and O2-plasma was performed at 300°C in the same reactor prior to Ru growth. The effects of Pt seed layer thickness on Ru PEALD nucleation and growth, as well as O2-plasma exposure conditions were investigated in-situ by ellipsometry in real-time to optimize process conditions. Depth-profile XPS confirmed the presence of a Ru film with no oxygen, and the Pt seed layer. SEM and AFM images suggested an island nucleation growth mode. Ru surface roughness measured by AFM showed a systematic decrease with increasing Pt seed layer thickness. The films were also characterized ex-situ by ellipsometry, x-ray reflectometry (XRR), and transmission electron microscopy (TEM) to determine thickness and morphology.

The nucleation delay for film growth could be directly observed by changes in the in-situ ellipsometric data. Without the Pt seed layer, essentially no Ru film growth was observed. Even a 20-cycle Pt seed layer (0.2 nm thick) enabled the growth of a Ru film, though the resulting Ru film thickness and properties were strongly dependent on the Pt seed layer thickness.

Since it is the critical parameter for many applications, the Ru film resistivity was determined by ex-situ four point probe (4pp) measurements. The Ru film resistivity was also estimated from the in-situ ellipsometry data analysis, using a Drude optical model. A linear correlation was observed between the ex-situ 4pp and in-situ ellipsometry resistivity measurements, demonstrating that in-situ ellipsometry measurements could be used to optimize the conductivity properties of thin Ru films.

[1] Lu, J.; Elam, J. W. Low Temperature ABC-Type Ru Atomic Layer Deposition through Consecutive Dissociative Chemisorption, Combustion, and Reduction Steps. Chem. Mater. 2015, 27, 4950-4956.

View Supplemental Document (pdf)
AF-TuP-34 Atomic Layer Deposition of Ru Thin Films Using ‘Rudense’ as a Ru Precursor and Oxygen Gas as a Reactant
Dae Seon Kwon, Cheol Hyun An, Sang Hyeon Kim (Seoul National University, Republic of Korea); Hoju Song (Seoul National University, Repubic of Korea); Seong Tak Cho, Soon Hyung Cha (Seoul National University, Republic of Korea); Taishi Furukawa, Teppei Hayakawa (TOSOH Corporation, Republic of Korea); Kazuhisa Kawano (TOSOH Corporation, Repubic of Korea); Cheol Seong Hwang (Seoul National University, Republic of Korea)

Ru attracts much attention as a potential electrode for the next-generation dynamic random access memory (DRAM) capacitor due to its promising properties such as low resistivity (~7 μΩ·cm) and high work function (~4.7 eV). Atomic layer deposition (ALD) is the most suitable method among numerous thin film growth techniques to grow uniform and conformal film over three-dimensional structures. Upon Ru deposition by ALD, selecting appropriate Ru precursor is crucial, because the film growth behaviors and its properties are highly affected by the Ru precursor used. Currently, metal-organic precursors like Ru(EtCp)2 and (Ru(EtCp)(η5-CH2C(Me)CHC(Me)CH2)) (RuDER) are widely used, but problems such as long incubation time and low growth rate still exist. Therefore, it is necessary to develop Ru precursor with improved performance. A new Ru precursor, Rudense (Ru(EtCp)(η5-CH2C(Me)CHC(Me)O)) (TOSOH Co.), was developed, which was adopted to grow Ru film via an ALD method in this study. The ALD behavior of Ru thin films using Rudense and O2 as a Ru precursor and a reactant, respectively, was examined at temperatures ranging from 250 to 270oC. At 250oC, the self-limiting growth was confirmed by controlling the Ru precursor and O2 feeding time. A saturated growth rate of 0.09 nm/cycle and very low incubation cycles (<20) were obtained, which are highly improved results compared with the results from RuDER. Also, well crystallized Ru phases and low resistivity (~ 70 μΩ·cm) were observed from an extremely thin film (< 3 nm). Chemical and structural analysis, such as X-ray photoelectron spectroscopy(XPS), time of flight secondary ion mass spectrometry(ToF-SIMS) and atomic force microscopy(AFM) measurements were conducted to examine the deposited films in more detailed manner. Finally, a high enough step coverage (> 90 %) was also obtained from the Ru thin film on a capacitor hole with a dimension of diameter of 120 nm and depth of 2400 nm.

AF-TuP-35 In-situ Half-Cycle Analysis of Atomic Layer Deposited Zinc Oxide as Channel Layer in Thin Film Transistor
Harrison Kim, Antonio Lucero, Si Joon Kim, Jiyoung Kim (The University of Texas at Dallas)

Thin film process monitoring of atomic layer deposition (ALD) has been adopted as the versatile technique to identify both chemical and physical properties of ALD films. However, currently no tool is suitable to monitor the sub-nm device physics even if we are already in the era facing beyond 10 nm node semiconductor processes.

Hence, we have developed an ultra-high vacuum (UHV) cluster tool equipped with thermal processing, plasma surface treatment, thin film deposition, and electrical characterization which can all be performed in-situ. With this feasibility, it is possible to witness the genuine changes in interface states without any ambiguity arising from the oxidation or contamination from the atmosphere. Initial results are demonstrated by depositing low temperature ALD zinc oxide (ZnO). Thin film transistors (TFTs) with inverted-coplanar structure were prepared. ALD Al2O3 is used as gate insulator with aluminum defined gate and source/drain electrodes. Diethylzinc (DEZ) and water (H2O) is used as the precursors to grow ALD ZnO at 100°C. DEZ and H2O half-cycle analysis is carried out to study ALD ZnO behavior which is used as the channel layer in the TFTs. 45 ALD cycles to deposit ZnO was specifically chosen as it is the regime where ALD ZnO shows first switching behavior in vacuum (on/off ratio: ~102). Subsequently, one full ALD cycle shifts the threshold voltage (Vth) negatively. Additional DEZ half-cycle further results in a negative Vth shift along with a simultaneous increase in on and off current. Moreover, H2O half-cycle decreases off current, making ALD ZnO film more semiconducting (on/off ratio: ~104). By using ozone as another oxidant, role of hydrogen as a shallow donor will also be discussed. We believe that our findings support the fundamental understanding on the origination of dominant n-type doping seen in ZnO.

This work was supported by the Creative Materials Discovery Program on Creative Multilevel Research Center (2015M3D1A1068061) through the National Research Foundation(NRF) of Korea funded by the Ministry of Science, ICT & Future Planning

View Supplemental Document (pdf)
AF-TuP-36 Investigation of Low Temperature Silicon Nitride Deposition using Hexachlorodisilane and Ultra-High Purity Hydrazine
Antonio Lucero (The University of Texas at Dallas); Aswin Kondusamy (University of Texas at Dallas); Su Min Hwang, Xin Meng, Harrison Kim (The University of Texas at Dallas); Daniel Alvarez, Jeffrey Spiegelman (RASIRC); Jiyoung Kim (The University of Texas at Dallas)

Silicon nitride is playing an increasingly important role in the semiconductor industry for use in self-aligned patterning, 3D integration, and memory applications. Conventional silicon nitride deposition relies on either high temperature or plasma to generate reactive species. Both techniques can deliver high quality films in terms of wet etch rate, uniformity, and dielectric properties.1 However, modern applications demand lower deposition temperatures (< 400°C) and improved conformality over high-aspect ratio structures. Newly available ultra-high purity hydrazine sources have been successfully used to deposit metal nitrides at low temperature.2 In this work we study the low temperature thermal ALD growth characteristics of silicon nitride using hydrazine and hexachlorodisilane (HCDS) and evaluate the physical properties of the films. Thermal ALD results with hydrazine will be compared to those from films deposited using PEALD with HCDS and ammonia in the same temperature range.

Silicon nitride films are deposited using a thermal ALD reactor from 250-400°C. Hydrazine is supplied from a BRUTE hydrazine source. Growth with hydrazine shows saturation behavior, with growth per cycle (GPC) gradually increasing with hydrazine exposure. GPC from 0.4-0.5 Å/cycle is observed at 400°C with refractive index of 1.813. X-ray photoelectron spectroscopy analysis is used to confirm film stoichiometry: SiN films with low oxygen (< 2%) and chlorine (< 1%) impurities can be achieved. These results are similar to those for films deposited with HCDS and NH3 using PEALD at 360°C. Film density and wet etch rate results are compared for films deposited at different temperatures. Nucleation behavior on hydrogen terminated silicon, hydroxyl terminated silicon, and hydrazine treated silicon will be discussed.

1. X. Meng, Y.-C. Byun, H. Kim, J. Lee, A. T. Lucero, L. Cheng, J. Kim, Materials 9 (12) 1007 (2016)

2. D. Alvarez, J. Spiegelman, R. Holmes, K. Andachi, M. Raynor, H. Shimizu, ECS Transactions, 77 (5) 219-225 (2017)

AF-TuP-37 Remote Plasma-Enhanced Atomic Layer Deposition of Metallic TiN Films with Low Work Function and High Uniformity
Yafeng Zhu, Fangsen Li, Yang Shen, Jian Zhang, Xiao Chen (Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences, China)

Thermal stability of metal/n-GaN contact is critical for its applications in microelectronic and optoelectronic devices . Metal Ti is generally used to make ohmic contact on n-GaN after high temperature annealing, and the key factor is to form TiN at the interface. To reduce the processing temperature and improve the reliability, metallic titanium nitride (TiN) thin film has been proposed to substitute traditional metals (such as Ti) in the contact structures, due to its low work function and high blocking effect. For this novel approach, the first step is to fabricate high quality TiN films. Here we adopted remote plasma-enhanced atomic layer deposition (RPEALD) method to deposit TiN films under well-controlled conditions. Stoichiometric TiN films (Ti: N ~ 1: 1) with low oxygen contamination (<5%) have been deposited uniformly on 2” substrates in a large temperature range of 250 ~ 400 . The work function of TiN films is quite low (~ 3.7 ± 0.1 eV) comparing to metal Ti (~4.33 eV), and almost independent to the growth temperature and substrates. Furthermore, thin TiN film exhibits good blocking effect on metal diffusion. Strong Fermi edge and high conductivity indicate excellent metallic property of the TiN films. This study of TiN film growth paves the way to establish low temperature process and improve the thermal stability of ohmic contacts for wide band gap semiconductor-based devices.

View Supplemental Document (pdf)
AF-TuP-38 In Situ Surface Reaction Mechanism Studies on ZrO2 Atomic Layer Deposition from Tetrakis(ethylmethylamino)zirconium
Wanxing Xu (Colorado School of Mines); Kashish Sharma, Dennis Hausmann (Lam Research Corp.); Sumit Agarwal (Colorado School of Mines)

The continued downscaling of modern semiconductor devices has led to the introduction of high-k dielectric materials. Atomic layer deposition (ALD) has emerged as a very promising technique for depositing high‑k thin films. Among the various candidates for the high-k dielectric materials, ZrO2 has been widely investigated for alternative gate dielectric materials because of its high dielectric constant, high breakdown field, excellent thermal stability, and compatibility with Si processing. Understanding the surface reaction mechanisms during the ALD of ZrO2 will assist in controlling and optimizing the growth process, and therefore, advance the development of new ALD processes and precursors.

Herein, we report on the surface reaction mechanisms during ZrO2 ALD using tetrakis(ethylmethylamino)zirconium (TEMAZ) as the Zr precursor. TEMAZ was chosen because, unlike ZrCl4, the surface reaction produces no corrosive byproducts. Oxygen plasma, O2, H2O, and C2H5OH were used as the oxygen sources to grow the ZrO2 films. The corresponding surface reactions during the ALD process were monitored using in situ attenuated total reflection Fourier transform infrared spectroscopy, which allows us to identify the surface reaction sites and adsorbed surface species that lead to film growth. In addition, the growth per cycle was measured using in situ four‑wavelength ellipsometry.

In both O2-plasma-based ALD and O2-based ALD, the main reactive sites for TEMAZ are surface carbonates that are formed after exposure of the hydrocarbon terminated surface obtained after the TEMAZ cycle to these oxygen sources. Previously, we had also identified surface carbonates as the reactive sites for O3- and O2 plasma-based ALD of TiO2 and Al2O3. In the H2O-based ALD process, as expected, -OH groups were the reactive sites for TEMAZ (see Figure 1) and there was minimal carbon incorporation in the film. In C2H5OH-based ALD, the amide ligand terminated surface obtained after the TEMAZ cycle reacts with C2H5OH, forming surface -OCH2CH3 groups (see Figure 2). However, the ligand-exchange reaction is not complete in the subsequent TEMAZ cycle with net incorporation of -OCH2CH3 groups. Even up to a temperature of 300°C, we did not observe the decomposition of the -OCH2CH3 groups via b-hydride elimination mechanism.

View Supplemental Document (pdf)
AF-TuP-39 Wafer-scale Characterization of Atomic Layer Deposited Zinc Oxide Thin Films using a Temperature Gradient Combinatorial Approach
Harrison Kim, Antonio Lucero, Si Joon Kim, Jiyoung Kim (The University of Texas at Dallas)

Electrical properties (e.g. resistivity or carrier concentration) of atomic layer deposited (ALD) zinc oxide (ZnO) can be easily modulated depending on the process conditions. However, complex transport behavior and insufficient characterizations make it difficult to comprehend the inherent nature of the electrical properties of ALD ZnO clearly. Thus, the combinatorial approach is suggested as one of the possibility to address this challenge. Since various growth conditions can be processed through a single experiment, the combinatorial approach helps to screen large structural or compositional differences rapidly. It provides a high-throughput methodology to develop or optimize material properties as desired.

Therefore, a rapid methodology to correlate electrical properties of ALD ZnO with nanostructures and compositions is developed through combinatorial study. Systematic study on the temperature dependent ALD ZnO is performed by intentionally introduced temperature gradient in the process reactor. A gradual temperature gradient (204°C to 224°C) over the 100-mm wafer is successfully achieved. Then, we acquired comprehensive information on structural, compositional, and electrical properties through a single experiment. X-ray diffraction (XRD) confirmed that ALD ZnO crystallized preferentially along the (002) orientation at a higher process temperature. Based on XRD and using Scherrer equation, we calculated the grain size that gradually varies as a function of process temperature: higher the process temperature, larger is the grain size. E2 (437 cm-1) peak in Raman spectroscopy is strongly correlated with the ZnO crystallinity as determined by XRD. Lastly, we found that ALD ZnO crystallinity and its grain size determines the film resistivity. This could be attributed to the enlarged conducting zinc oxide domain that increases carrier concentration. Hall mobility effect on conductivity is not considered significantly since its variations over the 100-mm wafer is negligible. An efficient wafer level characterization of ALD deposited material is demonstrated and feasibility of the combinatorial approach, in terms of visualization and interpretation of the material properties, is also shown.

This work was supported by the Creative Materials Discovery Program on Creative Multilevel Research Center (2015M3D1A1068061) through the National Research Foundation(NRF) of Korea funded by the Ministry of Science, ICT & Future Planning

View Supplemental Document (pdf)
AF-TuP-40 Study of Hollow Cathode Plasma Enhanced Atomic Layer Deposited Silicon Nitride: Relationship between Film Properties and Wet Etch Rate
Harrison Kim, Xin Meng, Antonio Lucero, Lanxia Cheng, Si Joon Kim, Young-Chul Byun, Su Min Hwang (The University of Texas at Dallas); Michael Telgenhoff, Byung Keun Hwang (Dow Chemicals); Jiyoung Kim (The University of Texas at Dallas)

Plasma-enhanced atomic layer deposited (PEALD) silicon nitride (SiNx) gathered great attention amongst as it can be grown at lower process temperature (< 400°C) with exceptional wet chemical resistance (wet etch rate, WER< 2 nm/min in dilute hydrofluoric acid). However, despite the fundamental difference in growth mechanism between plasma-enhanced chemical vapor deposition (PECVD) and PEALD, study of film properties affecting WER of SiNx has been primarily limited to the PECVD grown SiNx. Moreover, irrespective of the type of Si precursor or type of plasma co-reactants used, research have been done mostly to evaluate the effect of hydrogen bonding density on WER.

Herein, we not only highlight the effect of hydrogen bonding concentration on the bulk film densities influencing the WER of PEALD grown SiNx, but also provide insight on the influence of chemical bonding states of hydrogen or the effect of the residual impurity from the precursor. A customized ALD system installed with hollow cathode plasma source was employed to study SiNx comprehensively. Hexachlorodisilane (HCDS, Si2Cl6) is adopted as Si precursor since it is the most commonly used precursor type for more than a decade owing to its compatibility with both thermal ALD and PEALD. Then, we explore the effect of process temperature (270°C - 360°C) and plasma gas composition (N2/NH3 or Ar/NH3) on WER of SiNx. Accordingly, we found the changes in hydrogen bonding states and reduction in hydrogen bonding concentration arises from either (1) thermal activation or (2) plasma co-reactant environment. Moreover, we propose mechanisms that affect the WER of SiNx: hydrogen bonding states and residual impurity content. As a proof of principle, overall correlations are shown. Our findings highlight the significance of designing growth conditions properly through optimizing the process temperature, plasma gas compositions, and choice of Si precursor or type of plasma co-reactants to achieve lower WER.

View Supplemental Document (pdf)
AF-TuP-41 Investigation of Stability of Boron Oxide and Phosphorus Oxide Thin Films Grown by PALD
Bodo Kalkofen, Keyu Huang, Mindaugas Silinskas (Otto von Guericke University, Germany); Svetlana Beljakowa (Friedrich-Alexander-Universität, Germany); Bernd Garke (Otto-von-Guericke University, Germany); Marco Lisker (IHP, Germany); Edmund Burte (Otto von Guericke University, Germany)

Plasma-assisted atomic layer deposition (PALD) was carried out for growing thin oxide films containing dopants for silicon, germanium, and SiGe. Boron oxide and phosphorus oxide films were deposited as source layers for p type doping and n type doping, respectively. Tris(dimethylamido)borane (TrisDMAB) was used as boron containing precursor and tris(dimethylamido)phosphine (TrisDMAP) as phosphorus containing precursor. The experiments were carried out in a commercial PALD reactor build by Sentech Instruments GmbH, Berlin. Dosing was achieved by “vapor-draw” into a nitrogen carrier flow by fast acting valves. Oxygen radicals in the PALD processes were generated by a remote inductively coupled plasma source.

The boron and phosphorus containing oxide films were highly unstable in ambient air and showed to some extent remarkable degeneration patterns after contact to air (see examples in Fig.1 and Fig.2). The development of the film degradation with time in air was investigated in detail. Films were stabilized by mixing them with stable oxides (e.g. antimony oxide) or by applying capping films of varying thickness. The films and film stacks were measured by spectroscopic ellipsometry and composition of the film stacks was analyzed in detail by x-ray photoelectron spectroscopy (XPS) and secondary-ion mass spectrometry (SIMS).

View Supplemental Document (pdf)
AF-TuP-42 Effects of Substrate Temperature and Oxygen Deficiency on the Electronic Properties and Optical Dispersion of MgO Thin Films
Yus Rama Denny, Teguh Firmansyah (University of Sultan Ageng Tirtayasa, Indonesia); Sang Su Lee (Chungbuk National University); Hee Jae Kang (Chungbuk National University, Republic of Korea)

The electronic and optical dispersion of magnesium oxide (MgO) thin films were investigated by means of X-ray photoelectron spectroscopy (XPS), reflection electron energy loss spectroscopy (REELS) and ultra-violet photoelectron spectroscopy (UPS). MgO thin films were prepared on Si substrates by using electron beam evaporation deposited at different substrate temperature and oxygen flow rate. The O 1s peak from XPS spectra showed that the hydrate MgO, Mg(OH)2, was detected in the surface of MgO thin film at room temperature (RT) grown without oxygen partial pressure and it was decreasing at substrate temperature above 300°C. Meanwhile, the hydrate MgO peak was not found in the film deposited under oxygen partial pressure. The UPS results showed that the work functions of the MgO thin films were 4.69, 5.2, 4.65, and 4.29 eV for the films deposited at RT, 300°C, 400°C, and 500°C, respectively. The REELS spectra revealed that the band gaps of MgO thin films were varied with different substrate temperature and oxygen flow rate. The optical properties were also examined REELS data using Tougaard-Yubero model. The dispersion behavior of the refractive index from REELS results was studied in terms of the single-oscillator Wemple-DiDomenico model. The results showed that the different substrate temperature of MgO thin films caused a change in the dispersion parameters in contrast to the static values of refractive indices and dielectric constant which remained the same. Our work demonstrated that the substrate temperature and oxygen deficiency played a crucial role in improving the electronic properties of MgO thin films and REELS is an efficient tool to study the optical properties of a material obtaining the optical parameters.

AF-TuP-43 Design of Hierarchical Metal Oxide Nanowires with Tunable Orientation by Atomic Layer Deposition
Susanta Bera, Se-Hun Kwon (Pusan National University, Republic of Korea)

Fabrication of metal oxide semiconductors thin films having hierarchical arrangement are the most exciting prospect for optoelectronic application. One of the novel hierarchical nanostructures are branched metal oxide nanowires (NWs) representing a critical building block of the nanomaterials which greatly enhances the optical, electronic and mechanical properties. A variety of fabrication approaches have been investigated to form high-quality hierarchical NWs, including several bottom-up solution or gas-phase processes. However, ability to control the feature size, density, and orientation of the morphology within each level of the hierarchy over large area is a key challenge. However, atomic-scale precision can control the features of the morphology over a macroscopic area. But, attempting the approach can lead to dramatic variations in the morphology during coating on nonplanar surface due to inability to control the parameters of the deposition process. However, atomic layer deposition (ALD) is one of the powerful technique which allows subnanometer precision in film thickness, interfacial composition, morphology, and microstructure of different levels of hierarchy. Herein, we demonstrate the use of ALD to design hierarchical metal oxide nanowires with tunable orientation via controlling each level of hierarchy on wide range of substrates. In this work, some chemical and physical parameters are found to play key role on growth of the hierarchical thin films. In the next step, sol-gel assisted thin layers of BiVO4 was coated on the hierarchical thin films and proposed to use as a photoanodes for photoelectrochemical water splitting. It is found that the hierarchical films show enhanced photoelectrochemical performance. We demonstrate that the hierarchical morphologies are beneficial for efficient light harvesting as well as additional direct conduction pathway to reduce the charge recombination.

AF-TuP-44 Development of High Performance ALD_TiN Process System
Jinho Jeon, Jehee Kang, Changho Shin, Young Hoon Park, Sangmin Kim (Wonik IPS, Republic of Korea)

In the past two decades, there has been a significant paradigm shift in Memory Devices. Specifically, (DRAM: Planer -> RCAT -> BCAT, FLASH: Planer -> 3D V-NAND (24nm), and LOGIC: HK/MG -> FinFET (14nm) -> GAA). These new innovations and technologies represent significant improvements from the current systems. Looking at it from the perspective of the Metal Process, we can analyze the ALD_TiN Film used in DRAM Cell Capacitor supporter and 3D NAND W/L barrier metal.

In order to increase the capacities of DRAM Cells, the number of Capacitor Nodes has been increased. In order to prevent bending issues of nodes (high Aspect ratio), ALD_TiN has to be created in high temperature for High Density, Good Step Coverage to be functional. To satisfy these need, the use of different Capacitor materials and innovations around shift from ZAZ to ZAZA….. are being thoroughly researched. In 3D V-NAND, as height of ON Stack increases, W/L (high surface area /Gate W B/M) Gapfill becomes increasingly difficult. The combination of low temperature ALD-TiN / ALD-W is being used to solve the complicated Gate Gapfill Process.

In response to the evolving Device, the research facility has successfully developed a TiCl4 gas base’s ALD_TiN Film using the New Platform, achieving greater productivity and stability.

By developing the Low Thickness TiN Film used in 3D-NAND W/L B/M under 430~450 degrees, results of Step coverage above 98%, Film Continuity under 35A, and Density above 4.5g/cc have been successfully achieved. Also, by developing the TiN Film used in DRAM Capacitor (Storage and Plate) in high temperature of above 520~650 degrees, result of Good Step Coverage above 96% has been successfully achieved. This allowed for Cell Capacitor’s stability.

Through multiple simulations of Shower Head, Gas Path and chamber designs optimized for ALD Process, system that stabilizes gas purging and pumping was created. The resulting high quality TiN Film has D/R=0.30A~0.45A/cycle and Cl level (SIMS/count) of less than 3xE-3.

AF-TuP-45 Deposition of Thin (0.5 – 42 nm) Alumina Films by ALD to Determine their Optical Constants from 190 – 1688 nm
Dhruv Shah, Dhananjay Patel, Dylan Jacobsen, Jacob Erickson, Matthew Linford (Brigham Young University)

Atomic layer deposition (ALD) is widely used in the semiconductor industry to provide atomic level control of film thickness and uniformity. Here we report thermal ALD (332 ºC) of thin films of alumina from water and trimethyl aluminum (TMA) precursors. The alumina deposition was optimized by varying the dose and purge times for both precursors with an aim to obtain uniform film thickness and growth per cycle (GPC). Films of varying thickness (0.5 – 42 nm) were characterized by spectroscopic ellipsometry (SE) and X-ray photoelectron spectroscopy (XPS). XPS clearly showed an increase in surface aluminum content with increasing number of ALD cycles. The film thickness was measured by spectroscopic ellipsometry (SE), where film growth was extremely linear over the entire range probed (5 – 500 deposition cycles). The SE data from multiple thin films were used to build an optical model in a multi-sample analysis that included parameterized optical constants for alumina and film roughness. This model yielded a single set of optical constants for alumina over a wide range of thicknesses (0.5 – 42 nm) and wavelengths (190 -1688 nm). Because of the importance and frequency of alumina deposition by ALD, these optical constants should be useful to others in the field.

AF-TuP-46 Investigation of the Si doping effect on the Ga2O3 Films Prepared by Atomic Layer Deposition
Hong-Ping Ma, Hong-Liang Lu, Tao Wang, Hong-Yan Chen, Xing Li, Jin-Xin Chen (Fudan University, China); Jing-Tao Zhu (Tongji University, China); David Wei Zhang (Fudan University, China)

Precise control dopant composition and systematic study the doping effect are critical to the production of functional films with desired properties. In this study, we make the original try to use atomic layer deposition (ALD) to fabricate Si-doped Ga2O3 films. Optical spectrometry, Hall measurements, X-ray photoelectron spectroscopy and several other measuring techniques were applied to characterize and analyze the optical, electrical and structural properties of the doped films. The experiment results indicated that the content of the Si has an obvious influence on the photoelectrical properties of Ga2O3 films. The refractive index of Ga2O3 films was decreased with the increasing of the Si content. The Ga2O3 energy gap can be tuned from 4.75 to 4.92 eV through Si doping. The average transmittance was larger than 95% from ultraviolet to visual wavelength for all these Si-doped Ga2O3 films although the optical transparency was reduced after the Si doping. These results suggesting that the Ga2O3 film-based devices such as transparent electrodes, photodetectors or thin film transistors can be further optimized the structural quality and conductivity by improving ALD process.

View Supplemental Document (pdf)
AF-TuP-47 Magnetoelectric Properties of Atomic Layer Deposited ZrO2-HfO2 Thin Films
Kristjan Kalam, Helina Seemen, Peeter Ritslaid, Aile Tamm, Kaupo Kukli, Mats Mikkor (University of Tartu, Estonia); Raivo Stern (National Institute of Chemical Physics and Biophysics); Salvador Dueñas, Helena Castán (University of Valladolid)

ZrO2 and HfO2 have been materials of interest due to their several potential applications, for example in microelectronics as a memory material [1]. In this study, ZrO2-HfO2 films were grown by atomic layer deposition (ALD) on planar Si(100) and TiN substrates by alternately applying certain amounts of constituent binary oxide growth cycles. ZrCl4 and HfCl4 were used as zirconium and hafnium precursors, respectively. The oxidizer was H2O.

Films with various compositions were grown, cation ratio Hf/Zr varied from 0.2 to 10 as measured by X-ray fluorescence spectrometer. Some films were grown as solid solutions and some as nanolaminates. The film thicknesses, measured by spectroscopic ellipsometry, varied between 9 and 22 nm. A nanolaminate, where 8 nm of HfO2 is deposited on Si(100) and 10 nm of ZrO2 is deposited on top of HfO2, is ferromagnetic, measured with vibrating sample magnetometer. The same sample deposited on a TiN substrate was subjected to a Sawyer-Tower measurement and exhibited ferroelectric-like behavior.

[1] Leskelä, M., Niinistö, J., Ritala, M., Atomic Layer Deposition. In Comprehensive Materials Processing; Cameron, D., Ed.; Elsevier Ltd., 2014; Vol. 4, pp 101–123

View Supplemental Document (pdf)
AF-TuP-48 Selective Film Stripping Techniques for In-FilmTrace Metal Analysis Using Inductively Coupled Plasma Mass Spectrometry (ICP-MS)
Jaya Chowdhury, Janie Huang, Kiera Fu, Peng Sun, Lifang Shi (ChemTrace)

Atomic layer deposition (ALD) of stacked films often entail thin film deposition based on the sequential use of a gas phase chemical processes1. Possibilities for atomic layer depositions to leave some un-reacted precursor elements in the form of impurities can be high. In order to achieve ultra-high purity products, essentially free from trace metals and organic impurities, they need to be fully qualified at the precursor level2 as well as upon deposition of films3.These films require full characterization for process optimization to eliminate device critical contaminants in the mature process4. The impact of not doing so can be very costly in terms of low yielding and unreliable final product. One of the technical challenges for in-film trace metal contamination analysis is the lack of selective film stripping sample preparation methods.

In this paper, different film surface and in-film trace metal analysis techniques will be described. Results will be discussed as part of a case study for W/TiN/SiOx stacked film wafers. Different stripping chemistries (acid, base, peroxide, etc.) and stripping conditions (etch time, chemical ratios, etc.) studied for target film removal will be elaborated upon. Using the optimized selective film stripping sample preparation recipe and ICP-MS, trace metals in the W film layer have been analyzed with efficient film removal selectivity and satisfactory method detection limits.

Keywords: Selective film stripping, trace metals, device critical contaminants, ICP-MS, wafer films

References:

[1] Mikko Ritala, Markku Leskela, “Handbook of Thin Film Materials, Vol. 1: Deposition of processing of Thin films”- Atomic layer deposition, Chapter-2

[2] Vijay (Jaya) Chowdhury et.al, “A batch study of trace metal impurities in High-K semiconductor precursors” ASMC-2017

[3] H.Kim, “Atomic layer deposition of metal and nitride thin films: Current research efforts and applications for semiconductor device processing”- Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics 21, 2231 (2003)

[4] Miika Mattinen et. al, “Atomic layer deposition of Iridium thin films using sequential oxygen and hydrogen pulses” J. Phys. Chem. C, 120 (28), pp 15325-15243 2016

AF-TuP-49 Study on Silicon-nitride ALD Process at Low Temperature by a High Density Multiple-ICP Source
Ho-Hyun Song, Hong-Young Chang (KAIST, Republic of Korea)

Plasma sources, such as CCP (Capacitively Coupled Plasma), ICP (Inductively Coupled Plasma), etc., have been widely used for fabrication processes in the semiconductor, flat-panel display, and solar-cell industries. In next-generation semiconductors, the necessity of high concentration nitride film deposition due to decrease of gate line-width and low temperature process using high density plasma with good step coverage at high aspect ratio have been required.

We have developed 7-coil multiple ICP sources (for 300 mm wafer), generating high-density & uniform nitrogen plasma by delivering high power (~10 kW) at low temperatures (300 ~ 550 K). Also, we have improved the plasma source to a magnetized-ICP source for generating more high density plasma. Using this source, a silicon nitride film was deposited on a 300 mm wafer by PE-ALD (Plasma Enhanced Atomic Layer Deposition) method. We also have conducted PE-ALD process experiments at low temperature conditions (300 ~ 550 K) and have analyzed the properties of deposited silicon nitride films. In order to analyze the characteristics such as composition ratio according to the depth of the thin film, we performed SIMS and XPS analysis. Through this study, it has been confirmed that the silicon nitride film, deposited by our sources, was very similar to that of the general LP-CVD process, and also the good step coverage was confirmed. We also have repeated the same experiment and the same analysis for SiCN thin film deposition.

AF-TuP-50 Self-Organized Growth of TiO2 Anatase Nanorods on Graphene Nanoplatelets by Atomic Layer Deposition
Damiano La Zara, Fabio Grillo, Michiel T. Kreutzer, J. Ruud van Ommen (Delft University of Technology, Netherlands)

Understanding the spontaneous organization of atoms on well-defined surfaces promises to enable control over the shape and size of supported nanostructures. Atomic layer deposition (ALD) is an established gas-phase technique for the layer-by-layer deposition of conformal thin films in applications that require atomic-level precision. Given its unparalleled precision and scale-up potential, considerable research effort has been put in expanding its capability to the deposition of nanostructures other than thin films such as nanoparticles (NPs). However, the formation and growth of NPs is mediated not only by ALD surface chemistry but also by non-equilibrium phenomena such as adatom and NP diffusion and aggregation. Since such mechanisms are a strong function of reaction conditions and adlayer-support interactions, control over the NP size can be achieved only under certain system-dependent conditions. Nonetheless, if properly understood, unconventional growth pathways can expand the range of nanostructures that can be synthesized by ALD. After achieving control over the NP size, the natural next step in the advancement of ALD of NPs is the synthesis of shape-controlled NPs and, in particular, of 1D nanocrystals such as nanorods.

Here, we report on the bottom-up formation of TiO2 anatase nanorods on gram-scale batches of graphene nanoplatelets via TiCl4/H2O ALD carried out at temperatures as low as 300 °C. We show that nanorods as large as 200 nm form even after only 5 cycles, indicating that the growth is dominated by diffusive aggregation rather than by a layer-by-layer mechanism. In particular, complex structures such as V-shaped and curved nanorods are observed. Prolonged annealing experiments show that the sequential nature of the ALD process is crucial for the nanorods formation. Statistical analysis of the shape of the nanostructures at different exposure times reveals a competitive process between growth pathways leading to either symmetric growth, and thus NPs, or asymmetric growth, and thus nanorods. In particular, the population of the different observed nanostructures is a non-linear function of the exposure time of TiCl4 and H2O even at saturation conditions. The number of cycles affects mostly the number rather than the size of the nanorods. Crucially, high-resolution transmission electron microscopy reveals that the TiO2 nanocrystals can undergo oriented attachment and that the width of the nanorods is bound by {011} facets. Finally, analysis of the relative lattice orientation clearly shows an in-plane rotational alignment between the lattices of the TiO2 nanocrystals and graphene nanoplatelets. View Supplemental Document (pdf)
AF-TuP-51 Surface Reaction Routes of HCDS on SiO2 using Density Functional Theory
Ki-Yung Kim, Dong-Gung Shin, Yeong-Cheol Kim (Korea University of Technology and Education, Republic of Korea)

HCDS (Si2Cl6) is still the choice of silicon ALD process in semiconductor industry mainly because of its self-limiting reaction and cost benefit. HCDS, however, does not follow the typical and sequential ‘adsorption-reaction’ process because of its low surface adsorption energy; the adsorbed molecule would rather desorb from surface than react with it. We try to rationalize its ALD characteristics by considering the kinetic energy of molecules and the succeeding reaction routes. Temperature should be raised to increase the number of molecules with high kinetic energy. This high thermal energy, however, can transform the reaction process from ALD to CVD. We found that the succeeding reaction routes could still be self-limiting at this high temperatures.

AF-TuP-52 Quadrupole Mass Spectrometer for ALD Process Monitoring - Challenges and Solutions
Uwe Meissner (ALD, Germany)

The performance and reliability of Quadrupole Mass Spectrometry (QMS) Systems have been improved significantly over the last few years, and as such employed extensively in a range of semiconductor manufacturing applications as Residual Gas Analyzers (RGA).

Today, RGA systems are widely used to monitor, optimize and control different vacuum-based processes. New innovations in RGA technology and products can provide significant analytical benefit to enable optimization and monitoring for Atomic Layer Deopisition (ALD) and Atomic Layer Etch (ALE) processes.

There are significant developments with respect of improving sensitivity, detection limits and robustness of the QMS (RGA) systems. We will present solutions for improved ion source technology and system robustness. We will discuss specific requirements and solutions for QMS (RGA) applications for Thermal ALD and Plasma Enhanced (PE) ALD processes.

AF-TuP-53 Develop Inhibitor-Utilizing Atomic Layer Deposition for Synthesizing Few-layer Molybdenum Disulfide Thin Films
Woojin Jeon (Dankook University, Republic of Korea); Yeonchoo Cho, Sanghyun Jo (Samsung Advanced Institute of Technology); Ji-Hoon Ahn (Korea Maritime and Ocean University, Republic of Korea); Seong-Jun Jeong (Soongsil University, Korea)

We present a novel synthesis technique to produce high-quality MoS2 thin films by utilizing an inhibitor for Mo precursor adsorption in an atomic layer deposition (ALD) process. The spectroscopic and microscopic analysis results revealed the dependence of the crystal and chemical structure of the deposited MoS2 films on the concentration of the Mo precursor adsorbed on the substrate in the initial stage of the ALD process. The mechanism for this dependence was examined theoretically and experimentally. Based on this, we successfully synthesized highly crystalline few-layer MoS2 samples on SiO2.

Session Abstract Book
(523KB, May 5, 2020)
Time Period TuP Sessions | Topic AF Sessions | Time Periods | Topics | ALD2018 Schedule