ALD2017 Session AF-MoP: ALD Fundamentals Poster Session

Monday, July 17, 2017 5:30 PM in Room Plaza Exhibit

Monday Afternoon

Session Abstract Book
(533KB, May 5, 2020)
Time Period MoP Sessions | Topic AF Sessions | Time Periods | Topics | ALD2017 Schedule

AF-MoP-1 Template-Free Vapor-Phase Growth of Patrónite (VS4) by Atomic Layer Deposition
Matthew Weimer, Robert McCarthy (Argonne National Laboratory); Jonathan Emery, Michael Bedzyk (Northwestern University); Fatih Sen, Alper Kinaci, Maria Chan, Adam Hock, Alex Martinson (Argonne National Laboratory)

Transition-metal dichalcogenides (TMDC) have received particular attention due to their layered structure and range of bandgaps. Recently, 2D vanadium disulfide, VS2, has been considered for a wide range of applications, enabled by thin film growth methods. A sub-set of transition-metal sulfides is those that possess disulfide (S2-2) moieties. Recently, facile routes to sulfide minerals based on S2-2 dimers, pyrite (FeS2), cattierite, (CoS2), and vaesite (NiS2) have been realized, which allows for investigation in a range of applications. Owing to the difficulties in control over V oxidation state and V:S stoichiometry, films of patrónite, VS4, with the intriguing quasi-one-dimensional chain structure, have only be accessed by templated growth on graphene and graphene oxide surfaces in a non-self-limiting fashion. Despite challenges to control stoichiometry in the V:S system, template-free growth of VS4 thin films is demonstrated for the first time. A novel ALD process enables the growth of phase pure films and the study of electrical and vibrational properties of the quasi-one-dimensional transition metal sulfide. Self-limiting surface chemistry during ALD of VS4 is established via in situ quartz crystal microbalance and surface chemistry is studied with quadrupole mass spectrometry between 150 to 200 °C. The V precursor, unconventionally, sheds all organic components in the first half-cycle, while the H2S half-cycle generates the disulfide dimer moiety, S2-2, and oxidizes V3+ to V4+. A suite of x-ray analysis establishes VS4 crystallinity and phase purity, a self-limiting growth rate of 0.33 Å/cy, modest roughness (2.4 nm), expected density (2.7g/cm3), and low elemental impurities. Phase pure films enable a new assignment of vibrational modes and corresponding Raman activity of VS4 that is corroborated by density functional theory (DFT) calculations. Finally, at elevated growth temperatures, 225 °C and above, a change in the surface mechanism provides a self-limiting synthetic route to a second vanadium-sulfur phase, V2S3.

AF-MoP-2 Novel Approach to Deposit Carbon Doped Silicon Oxide Film with High Carbon Content via Thermal ALD
Haripin Chandra, Kirk Cuthill (Versum Materials, Inc); Matthew MacDonald, George Sanchez (Versum Materials, Inc.); Anupama Mallikarjunan (Versum Materials, Inc)

Carbon doped silicon oxide (SiOC) films are used in the semiconductor industry for many applications such as low-k dielectrics, etch stop, and advanced patterning. The established technology for depositing highly-doped, carbon-containing silicon oxide is plasma enhanced CVD (PECVD). The PECVD technique, however, faces a big challenge in meeting the conformality requirements for next generation devices. In an accompanying paper in this conference [Wang, Chandra et al.], atomic layer deposition of SiOC using aminosilane precursors and ozone as the oxidant was studied; the results showed that carbon doping is possible with the ozone process, but it is limited to < 200 oC depositions. This paper describes a novel way of depositing ALD silicon oxide-based films with high carbon content without use of strong oxidants at 300-500 oC. The process utilizes thermal ALD of chlorosilane-based precursors and ammonia to deposit a carbon-doped silicon nitride intermediate, which is then converted to carbon-doped silicon oxide through hydrolysis. Films that are rich in Si-NHx bonding from low temperature deposition are susceptible to hydrolysis to form SiOx-based films. The SiOC films deposited using our approach demonstrate excellent etch resistance in dilute hydrofluoric acid and carbon content higher than 20 at. % by XPS. We will discuss the impact of precursor structure (Si-C/Si ratio) on carbon content and feasibility of the overall approach on a 300-mm platform.

AF-MoP-3 A New Reducing Co-Reagent and Challenges in Thermal Atomic Layer Deposition of Electropositive Metal Films
Kyle Blakeney, Charles H. Winter (Wayne State University)
Powerful reducing agents are needed to deposit electropositive metals such as Ti, Ta, Mn, and Al by thermal atomic layer deposition (ALD). The ideal reducing co-reagent is volatile, thermally stable, highly reactive, efficient at stripping away metal precursor ligands, and produces volatile and stable reaction products. We have been exploring novel compounds as potential reducing co-reagents for ALD of metal and element films. The organic compounds CHD and DHP enabled Ti deposition via TiCl4, although growth rates were quite low.1 Borane-dimethylamine (BH3(NHMe2)) has been used in the low temperature thermal ALD of Ni, Co, Fe, Cr, Cu, Cu/Mn alloy and possibly Mn metal films.2 BH3(NHMe2) was also evaluated for Au and Ag metal ALD.3 However, film growth using this co-reagent is highly dependent on the substrate. Formic acid and tert-Butylamine have enabled Co deposition, however these co-reagents are likely unsuitable for very electropositive metals.4 Herein, we demonstrate the use of a new volatile and thermally stable proprietary reducing co-reagent for ALD of metal films provided by BASF. An ALD film growth study using Ti(NMe2)4 (TDMAT) as the metal precursor established a growth rate of 0.28 Å/cycle within an ALD window of 150-180 °C with similar growth rates on both metal and dielectric substrates. Films grown between 170-180 °C had high resistivities of about 10 Ω·cm. To prevent oxidation as much as possible, a metal carbide capping layer was deposited in situ before XPS analysis. Although the capping layer contained little oxygen, after sputtering the Ti films were largely oxidized with low amounts (<5 at. %) of C and N. Due to the highly oxophilic nature of Ti, trace oxygen in the carrier gas or ALD reactor may be responsible for oxidizing the growing Ti film. Due to the popularity of alkylamide ALD precursors, the observed film growth using the reducing agent and a titanium alkylamide precursor implies great potential for ALD processes of metals and elements.

1. J. P. Klesko, C. M. Thrush, C. H. Winter, Chem. Mater. 27 (2015) 4918–4921

2. L. C. Kalutarage, S. B. Clendenning, C. H. Winter, ECS Trans. 64 (2014) 147–157

3. M. Mäkelä, T. Hatanpää, K. Mizohata, K. Meinander, J. Niinistö, J. Räisänen, M. Ritala, M. Leskelä, Chem. Mater. 29 (2017) 2040–2045

4. M. M. Kerrigan, J. P. Klesko, C. H. Winter, 16th International Conference on Atomic Layer Deposition, Dublin, Ireland, July 24-27, 2016

View Supplemental Document (pdf)
AF-MoP-4 Volatile Rare Earth Metal Alkoxides for ALD precursors
Atsushi Sakurai (ADEKA Corporation, Japan); Nana Sugiura, Masako Hatase, Akihiro Nishida, Atsushi Yamashita (ADEKA Corporation)

Rare earth metal oxides have been widely investigated to prepare higher-k gate insulator layers especially on Ⅲ-Ⅴ channel surfaces with a low interface trap state density for future CMOS devices1). ALD rare earth oxide processes could be used to produce gate insulator layers in advanced 3D structures such as those found in future multi-gate and gate-all-around devices. Furthermore, thermal ALD may be preferred for such applications in order to achieve a gentler deposition process than with plasma-enhanced ALD and minimize undesired damage to other areas in CMOS devices. Combining a metal alkoxide precursor and H2O coreactant could lead to new thermally reactive ALD processes for metal oxide films proceeding through the formation of a metal hydroxide-terminated surface and volatile alcohol by-products. Despite considerable research, however, it has proven challenging to identify rare earth metal alkoxide precursors with sufficient volatility to be applied to practical ALD/CVD processes2).

Based on our precursor chemistry development work, we will present details on monomeric rare earth metal alkoxide precursors with attractive volatility, thermal stability, and reactivity for the deposition of ALD oxide films.

[1] Yiqun Liu, et al, Applied Physics Letters 97, 162910 (2010),

[2] W.A.Herrmann, et al, Angew.Chem.Int.Ed.Engl., 34, p.2187 (1995)

AF-MoP-5 Enabling Smooth and Conformal Film Growth via Separate Surface Treatment during Atomic Layer Deposition of Cobalt
Jeong-Seok Na (Lam Research Corp.)

As devices continue to scale down to N7 technology node and beyond, there have been extensive efforts to replace the current tungsten metal with alternative metals due to reduction in current-carrying cross-section, increase in electron scattering, and fill challenge of current tungsten or copper process in narrow trenches. Cobalt is considered a promising candidate because of its low electron mean free path and high melting point associated with resistance to diffusion (i.e., electromigration), especially, in source/drain metal electrodes and local/back-end interconnects in logic devices. The CCTBA cobalt precursor is useful due to its ability to produce pure cobalt films; however, it has an intrinsic instability issue, causing dimerization or polymerization with time. In this study, two new cobalt precursors have been investigated to achieve pure cobalt film with good step coverage and smooth morphology. Cobalt film growth behavior and film properties are affected by precursor design with different precursor stability and volatility. Precursor A is less volatile and more stable, producing slow film growth and smooth film morphology but relatively high carbon impurities. In comparison, precursor B is more volatile and less stable, producing fast film growth with rough film morphology by CVD process. However ALD process enabled improved controllability in film nucleation, step coverage, and morphology by separate surface treatment and low process temperature. Pure and crystalline ALD Co films were obtained with film resistivity at approximately 12 μohm-cm at 25nm, which is comparable to that of CCTBA. Cobalt gapfill behavior within small features using ALD cobalt process has also been studied. Detailed results including film characterization and gapfill performance will be presented.

View Supplemental Document (pdf)
AF-MoP-6 Comparative Study of ALD SiO2 Films
Andy Zauner (Air Liquide R&D, France); Jean-Marc Girard (Air Liqude Advanced Materials, France)

SiO2 is a widely used and studied dielectric material for electronic and optical applications, such as in spacer defined multi-patterning, shallow trench isolation (STI), liners, and antireflection coatings.

ALD is a very appropriate deposition technique to be used for those applications due to its extreme good thickness control, uniformity and conformity. In the case of spacer-defined multi patterning, ALD’s low deposition temperatures (below 100 °C) allow direct deposition on organic materials like photoresist or SiC films. While for other application, allowing more elevated deposition temperatures, high temperature ALD films might be beneficial due to modified film quality (e.g. etch resistance).

For throughput reasons fast deposition rates, i.e. high growth per cycle (GPC), are important. As presented in previous work, the GPC of a bis-substituted silane, such as SAM.24, can be outperformed while moving to precursors having more Si atoms in their molecular backbone (e.g. aminodisilanes).

A spectacular increase in GPC is achieved with Si rich precursors such as New SAM. Both, at low (~70°C) and at high (~300 °C) deposition temperatures the GPC is more than doubled as compared to the reference compound, SAM.24. The observed decrease of the GPC with increasing deposition temperature is well explained by the reduced number of ‑OH bonds at elevated temperature [1].

In this study the self-limiting growth rate of different precursors is studied at elevated deposition temperatures (250 °C-450 °C). In addition to the precursor / process parameters, material properties of the resulting films, including thickness uniformity, etching rates, and stoichiometric composition, are presented in this paper.

[1] G. Dingemans, C. A. A. van Helvoirt, M. C. M. van de Sanden, and W. M. M. Kessels, ECS Transactions, 35 (4) 191-204 (2011)

View Supplemental Document (pdf)
AF-MoP-7 B2O3 ALD for Advanced Doping Applications: The Roles of Free Radical Precursors and Surface Composition
Aparna Pilli, Jessica Jones, Jeffry Kelber (University of North Texas); Frank Pasquale, Adrien LaVoie (Lam Research Corp.)

ALD of B2O3 on Si is the subject of extensive investigation for ultra-shallow Si doping applications. Effects of oxidation chemistry on Si surface composition, precursor coverage and substrate reactivity, however, remain largely unexplored. X-ray photoelectron spectroscopy (XPS) studies of BCl3 and B(OCH3)3 interactions with O2, O(3P) radicals or H2O have been carried out at Si surfaces over a temperature range of room temperature to ~ 400 K, under controlled ultra-high vacuum conditions. These experiments monitor the effectiveness of various oxidants over this temperature range, as well as effects on Si surface oxidation and precursor wetting of the surface. The effects of oxidant on Si surface oxidation, and resulting precursor surface coverage, will also be discussed.

Acknowledgements: Work at UNT was supported by a grant from Lam Research.

AF-MoP-8 High-aspect Ratio Anodic TiO2 Nanotube Layers: Unprecedented Ability of ALD to add a Functionality
Raul Zazpe, Jan Přikryl, Hanna Sopha, Ludek Hromadko, Jan Macák (University of Pardubice, Czech Republic)

Self-organized anodic TiO2 nanotubular structures have received substantial attention within past 12 years. Their semiconductive nature, high surface area, unique architecture and chemical stability coupled with a low cost fabrication made these structures very popular and efficient in a wide range of applications.

However, the potential of TiO2 nanotube layers for a range of advanced devices, in particular when considering all possible tubular shapes and geometries, has not at all been exploited. One of the major issues to extend the functional range of nanotube layers is to coat homogenously tube interiors by a secondary material (potentially until the complete tube filling) to create novel devices. Efforts based on electrochemical deposition, chemical deposition and spin-coating led only to limited success in low aspect-ratio nanotube layers with a narrow range of materials.

The presentation will therefore focus in detail on the unique ability of ALD to attain continuous, conformal and homogeneous coating of secondary materials within high aspect-ratio TiO2 nanotube layers. The deposited materials strongly influence optical, electrical, thermal and mechanical properties of TiO2 nanotube layers. Experimental details and some very recent results will be presented and discussed [1, 2, 3, 4].

[1] J. M. Macak, Chapter 3: Self-organized anodic TiO2 nanotubes: functionalities and applications due to a secondary material in monograph Electrochemically Engineered Nanoporous Materials: Methods, Properties and Applications. Editors: A.Santos, D. Losic. Springer, 2015. ISBN: 978-3-319-20345-4, Vol. 220.

[2] J. M. Macak, J. Prikryl, H. Sopha, L. Strizik, Phys. Status Solidi RRL 9 (2015) 516-520.

[3] R. Zazpe, M. Knaut, H. Sopha, L. Hromadko, M. Albert, J. Prikryl, V. Gärtnerová, J. W. Bartha, J. M. Macak, Langmuir 32 (2016) 10551–10558.

[4] R. Zazpe, J. Prikryl, V. Gärtnerova, K. Nechvilova, L. Benes, L. Strizika, A. Jäger, M. Bosund, H. Sopha, J. M. Macak, Ms submitted.

AF-MoP-9 PEALD Ga2O3 as Dielectric Interlayer on GaN
Mei Hao, Robert Nemanich, Srabanti Chowdhury (Arizona State University)

GaN based transistors remain one of the most promising next generation power devices due to the large band gap (3.4 eV), high saturation velocity and high breakdown field. While oxygen terminated GaN surfaces have often been used as a starting surface for dielectric layer growth, these dielectric layer structures suffer from a range of defects and impurities. However, studies have suggested that an ordered O-Ga-O layer could provide an excellent low defect starting surface for dielectric layer growth. In this study we have employed plasma enhanced ALD (PEALD) to prepare Ga2O3 layers on GaN and determined the band alignment using photoemission spectroscopy. Ga2O3 is a transparent material with 4.1 to 4.9 eV band gap. The PEALD growth of Ga2O3 is achieved in our laboratory using gallium acetylacetonate (Ga(acac)3) precursor and an O2 plasma as oxidizer. Ga(acac)3, also referred to as Ga(C5H7O2)3, has a melting point of 197 °C and is non-pyrophoric. The PEALD system is connected by UHV transfer to an x-ray and UV photoemission system (XPS and UPS), which is used to determine saturation coverage and layer thickness in addition to band alignment. The results establish that the Ga2O3 growth window starts from 150 °C, saturated coverage of Ga(acac)3 is achieved in 0.4 s, complete oxidation occurs with an O2 plasma exposure time of 8 s and a N2 purge time of 60 s was employed. Within the growth window a growth rate of 0.4 Å per cycle was determined using X-ray diffraction (XRD) and photoemission indicated a uniform growth per cycle. The band gap of PEALD Ga2O3 derived from the XPS energy loss spectra was 4.1 eV. The results indicated nearly flat bands for the GaN and a valence band offset of 0.1 eV for the oxidized GaN surface.

This research was supported by ARPA-E through the SWITCHES program.

View Supplemental Document (pdf)
AF-MoP-10 Effect of Deposition Temperature and Plasma Condition on Film Quality of TiO2 Deposited by Plasma-Enhanced Atomic Layer Deposition
Munehito Kagaya (Tokyo Electron Limited, Japan); Shinya Iwashita (Tokyo Electron Yamanashi Limited, Japan); Yusuke Suzuki, Yuya Sakamoto (Tokyo Electron Limited, Japan); Akira Uedono (University of Tsukuba, Japan); Tadashi Mitsunari (Tokyo Electron Limited, Japan); Naoki Shindo, Miyako Yamasaka, Naotaka Noro, Toshio Hasegawa (Tokyo Electron Yamanashi Limited, Japan); Tsuyoshi Moriya (Tokyo Electron Limited, Japan)

Plasma-enhanced atomic layer deposition (PEALD) has been widely used as a technique to obtain a conformal thin film at relatively low deposition temperature. Although the fundamental study on PEALD of oxide films has been extensively reported, the effect of process parameters on the quality of PEALD-grown TiO2 has not been investigated well. In this work, we report the effect of deposition temperature and plasma condition on the film quality of TiO2 deposited by PEALD. TiO2 film was deposited on silicon wafers at 60, 100, and 120oC. An N-containing organometallic precursor was used as a Ti precursor and oxidized with Ar/O2 plasma at high and low mean ion energies. The plasma was generated via capacitively coupled plasma with an RF frequency of 450 kHz. The wet etching rate (WER) of the TiO2 films was evaluated using diluted HF (0.5 wt%) at room temperature. While the WER of the TiO2 films was almost constant under a high ion energy condition, it was highly dependent on the deposition temperature under a low ion energy condition (Fig. S1). The results of X-ray photoelectron spectroscopy show that the concentration of nitrogen impurity correlated well with the WER (Fig. S2). An N 1s peak was assigned to the protonated ligand of the precursor. These results indicate that one of the determining factors of the WER of PEALD-grown TiO2 films is the amount of impurity, which is incorporated in the films by redeposition of reaction-product fragments during plasma oxidation. The temperature and ion energy dependences of the WER might be caused by a change of the desorption probability of redeposited fragments. Thermal desorption is predominant under a low ion energy condition, so more reaction-product fragments are released from the film surface with increasing temperature. On the other hand, when the ion energy is high, ion bombardment on the film surface is pronounced due to the existence of highly energetic ions. Therefore, the desorption probability might be dominated by the ion bombardment rather than the deposition temperature.

View Supplemental Document (pdf)
AF-MoP-11 Atom Probe Tomography of Platinum and Ruthenium Atomic Layer Deposition Films
Daniel Potrepka, Billy Hornbuckle (U.S. Army Research Laboratory); Nicholas Strnad (University of Maryland)

Atom Probe Tomography provides the opportunity for 3D nanoscale compositional characterization. Using electric field or laser pulses single atom removal can be achieved in a controllable manner. The sample specimen can then be reconstructed from atom and position-sensitive detection. Atomic Layer Deposition (ALD) Pt 60 nm thick was performed at 300 °C onto TiO2 by a plasma-enhanced oxygen process using a 99% pure Trimethyl(methylcyclopentadienyl)platinum(IV) precursor. Rapid thermal anneal was performed at 700 °C, 1 min in an ambient O2 flow. After x-ray diffraction analysis to characterize the Pt orientation and sheet resistance measurements, sharpened atom probe samples were prepared by focused ion beam techniques. ALD Ru 10 nm thick was deposited at 100 °C onto Si pre-sharpened tips with a plasma-enhanced hydrogen process using the ToRuSTM precursor. Atom Probe Tomography was then performed in a CAMECA LEAP® 5000 system to investigate purity levels and overall chemical distribution of the prepared films.

AF-MoP-12 Nitrogen Doped Al2O3 Films with High Doping Uniformity and Low Film Roughness Grown by Plasma Enhanced Atomic Layer Deposition
Hong-Yan Chen, Hong-Liang Lu (Fudan University, China)

The nitrogen doped Al2O3 ( AlON ) film exhibits superior chemical, electrical, and interfacial stability than that of the undoped one, which is widely used in nanoscale devices. However, a homogenous nitrogen doping profile in AlON film cannot easily to be obtained through the conventional way by annealing the Al2O3 film in the NH3 environment. Another common way of Al2O3/AlN multi-layer growth will greatly increase the film roughness. In this work, AlON film with high doping uniformity and low film roughness has been prepared by plasma enhanced atomic layer deposition (PEALD) using a novel manner. The precursors NH3 and O were introduced simultaneously during PEALD of Al2O3 at 200 oC. Through controlling the NH3:O2 ratio, the refractive index (n) value of the film can be regulated from 1.63 (Al2O3) to 2.05 (AlN). As the O2/NH3 ratio increases from 5 to 20 %, the n value of the obtained film drops quickly. It indicates that the composition of the obtained film quickly changes from AlN to Al2O3 when a small amount of O2 is introduced into the chamber. The reason is that the reactivity of the O2 is much higher than that of the NH3. Results show that the O2/NH3 ratio should be maintained at a very low level (<5 %) for realizing a higher nitrogen doping level of the AlON film. Benefited from the growth method demonstrated in this work, the nitrogen can be doped evenly in the entire film. Moreover, the atomic force microscopy shows that the root mean square roughness value for ~20 nm thick AlON film is determined to be ~0.15 nm, which is almost in dependent of the nitrogen doping level. These findings of this work offer a way for growing AlON films with high doping uniformity and low film roughness.

AF-MoP-13 Study on the Gate Sidewall Spacer Silicon-Nitride ALD Process at Low Temperature by High Density Multiple ICP Sources
Ho-Hyun Song, Hong-Young Chang, Youbin Seol (KAIST, Republic of Korea)

Plasma sources, such as CCP(Capacitively Coupled Plasma), ICP(Inductively Coupled Plasma), etc., have been widely used for fabrication processes in the semiconductor, flat-panel display, and solar-cell industries. In next-generation semiconductors, the necessity of high concentration nitride film deposition due to decrease of gate line-width and low temperature process using high density plasma with good step coverage at high aspect ratio have been required.

We have developed 7-coil multiple ICP sources(for 300 mm wafer), generating high-density & uniform nitrogen plasma by delivering high power(~10 kW) at low temperatures(300 ~ 550 K). Using this source, a silicon nitride film was deposited on a 300 mm wafer by PE-ALD(Plasma Enhanced Atomic Layer Deposition) method. We also have conducted PE-ALD process experiments at low temperature conditions(300 ~ 550 K) and have analyzed the properties of deposited silicon nitride films. In order to analyze the characteristics such as composition ratio according to the depth of the thin film, we performed SIMS and XPS analysis. Through this study, it has been confirmed that the silicon nitride film, deposited by our sources, was very similar to that of the general LP-CVD process, and also the good step coverage was confirmed. We also have repeated the same experiment and the same analysis for SiCN thin film deposition.
AF-MoP-14 Effect of Revolution and Rotating Substrate for ALD SiO2 Film at Low Temperature Using SDP System
Jin-Hyuk Yoo, Byoung-ha Cho (JUSUNG Engineering, Republic of Korea)

We report in this article low-temperature SiO2 thin film ALD system for next-generation semiconductor devices which can have circle map controllability and provides a very uniform and conformal thin film.

In this study, we present a newly developed SDPTM (Space-Divided Plasma) ALD system with a wide range of map controllability by both revolution and rotating the substrate, and dividing the area of Source/ Purge/ Reactant (Plasma)/Purge.

We have investigated the characteristics of SiO2 thin film composition by O2 plasma for reactant and BDEAS for Si source at low process temperature ranging from 50℃ to 100℃. We have realized thickness map controllability and CD map adjustment at a real device, while showing high productivity. We have used an ellipsometer, TEM, AFM, XPS to evaluate thin film characteristics. The result shows the uniformity less than 0.3%, step coverage more than 95% at aspect ratio of 60:1. This SiO2 film is better on wet etch rate & breakdown voltage compared to HTO (High-Temperature Oxide).

View Supplemental Document (pdf)
AF-MoP-15 Breakthrough Trace Element Analysis for Challenging ALD Film Precursors
Lisa Mey-Ami, Jinjin Wang, Hugh Gotts, Fuhe Li (Air Liquide - Balazs NanoAnalysis)

It is necessary to adequately characterize the analytical purity of ALD precursors with the appropriate techniques in order to avoid device failure and enhance production yield. However, the high concentration of parent element in the precursor samples poses molecular interference challenges using inductively coupled plasma – mass spectrometry (ICP-MS). For example, in a cobalt precursor, 59Co16O interferes with determining trace levels of 75As. In addition, in a zirconium sample, 91Zr16O interferes with determining 107Ag. Several ICP-MS instruments in our laboratory are used jointly to determine trace levels of elements present in challenging precursor materials. A dynamic reaction cell quadrupole based ICPMS (DRC ICP-MS) filters out argon associated molecular ion interferences using an ion-molecule collision reaction. A high resolution double-focusing ICP-MS (HR ICP-MS) employs a magnetic sector field to eliminate or reduce the effect of interferences by baseline-separating mass interference from analyte signals . A triple quadrupole ICP-MS (QQQ ICP-MS) uses a combination of reaction gases to eliminate molecular ion interferences. The challenging precursor compounds have been investigated using all three ICP-MS instruments and the analysis data obtained will be discussed.

AF-MoP-16 New Technology Advances of Electromechanical Valve Technology for Precision-Controlled Millisecond Pulsed Delivery in ALD/ALE Applications
Patrick Lowery (HORIBA); Hiroshi Nishizato (Horiba Stec, Japan); John Dick, Thomas Hoke (HORIBA)

Atomic Layer Deposition (ALD) and Atomic Layer Etch (ALE) processes currently require <50 msec time constants for flow pulse width time constants. Currently, these applications are being served by combinations of various technologies such as pneumatic valves, solenoid valves and mass flow controllers (MFC’s) which utilizing either solenoid or piezoelectric actuators. Newer ALD and ALE process requirements will push flow time constants to around 5msec in certain applications. However, the currently utilized technologies are not suited for such high duty cycle applications, or cannot capture or meter flow at these time constants without significant error. Pulsed mechanical valves do not have full control capability to deliver precise mass pulses with repeatable dynamic response; therefore, many ALD/ALE processes cannot fully capture process parameters such as cumulated mass flow as function of ALD layer thickness. This presentation will focus on new technologies that are being developed using both new piezoelectric and solenoid technology, with fast flow metering capability for full feedback process-controlled pulse delivery along with metering capability with sub 20 millisecond resolution and beyond.

AF-MoP-17 Band Alignment at the Interface of Atomic Layer Deposition Al2O3 and Ga-Polar GaN under Ultraviolet/Ozone Treatment
Kwangeun Kim, Jae Ha Ryu, Jisoo Kim, Sang June Cho, Dong Liu, Jeongpil Park, In-Kyu Lee (University of Wisconsin-Madison); Baxter Moody (HexaTech. Inc.); Weidong Zhou (University of Texas at Arlington); John Albrecht (Michigan State University); Zhenqiang Ma (University of Wisconsin-Madison)

Exploring the band alignment at the interface of dielectric/III-nitride under different surface treatments is fairly important in understanding the interface charge behaviors and improving the III-nitride-based electronic device design, performance, and reliability. The energy band alignment of Ga-polar GaN interfaced with atomic layer deposition (ALD) Al2O3 was characterized by ultraviolet/ozone (UV/O3) treatment. The UV/O3 treatment and post-ALD anneal effectively varied the band bending, the valence and conduction band offsets, and the interface dipole at the ALD Al2O3/GaN interface. In addition, the UV/O3 treatment affected the surface energy of GaN and the growth quality of ALD thin film. The eventual energy band alignment at the ALD Al2O3/GaN interface was determined by screening the polarization bound sheet charges in GaN with the positively charged surface states formed by the trapped charges in the Al2O3 thin film. X-ray photoelectron spectroscopy and capacitance-voltage measurements were used for the experiments. The “best” interfaces can be realized under the optimal UV/O3 treatment conditions. This study of UV/O3 treatment on the band alignment will be effective for achieving high performance transistors, light-emitting diodes, and photovoltaics.

AF-MoP-18 Raman Spectrum Characterization of Ti-based ALD Thin Films Treated with Ultra-high Vacuum Annealing
Chengchun Tang, Xian Sheng Jia, Chang Zhi Gu, Jun Jie Li (Institute of Physics, Chinese Academy of Sciences, China)

Ti-based thin films such as TiOX, TiNX and TiCX prepared by atom layer deposition (ALD) exhibit extraordinary optical and physical properties. With a combination of two or three Ti-based ALD thin film, the properties of the compounds can be combined together or be enhanced. Most often, ultra-thin ALD raw films are hard to be characterize by normal XRD or Raman because ALD thin films are intrinsically amorphous, which is very inconvenience for detecting the composition and banding state of ALD thin films. Here we introduce an ultra-high vacuum annealing (UHVA) process to treat Ti-based ALD thin film for realizing Raman spectrum characterization. The results indicate that UHVA is a nondestructive method for increasing the crystallization of ALD thin film, which can be used for the pretreatment of Raman detection and help to analyze composition related electrical and mechanical properties.

The ~30nm thick Ti-based thin films are prepared by ALD at temperature from 150 to 450oC. With increasing deposition temperature, their surface roughnesses are increased from 2nm to 20nm and their metal-like conductivities are changed from 2.72×10-3S/m at 150oC to 8.65×104 S/m at 450oC by seven orders. After UHVA process, Raman measurement results show clearly the change process of the composition and banding state of Ti-based thin films. A well conductive TiNx with two peaks at 203 cm-1 and 392 cm-1 is stable during the whole ALD process. There are a lot of nonconductive TiOX with a peak at 517 cm-1 formed at lower temperature than 250℃. When the reactor temperature increase to larger than 250℃, there are little TiOX formed and the conductivity increases dramatically and hard TiCx appeared, which is revealed by the mountain peak at 609 cm-1, and an increased film modulus also prove it indirectly. The conclusions from Raman spectrum of UHVA Ti-based thin films are well consistent with their physical properties.

AF-MoP-20 Complete Analytical Characterization of Surface, Interfacial and Bulk Layers of ALD Films: The Path to Improved and Reliable Deposition Processes
Yagnaseni Ghosh, Chaunie Langland, Wendy Rivello, Fuhe Li (Air Liquide - Balazs NanoAnalysis)

As Atomic Layer Deposition (ALD) becomes the ubiquitous deposition technique for emerging semiconductor, micro-electronics and energy conversion fields, it is becoming critically important to characterize the topology, morphology, crystallinity, chemical composition, depth profile, and mechanical properties at the surface, bulk, and interface of the films to optimize the ALD and ALE processes. Using an exhaustive suite of modern analytical techniques and instrumentation, we have carried out complete characterization of various ALD films used in the microelectronics and nanotechnology arenas for their chemical, physical, mechanical and optical properties. The films characterized are oxide films for dielectrics, nitride films for diffusion barriers, and metal films for metallization. The benefit of these comprehensive studies is that the data enables process engineers to identify problems associated with yield loss, improve and control the ALD process, and ultimately make higher quality and more reliable ALD films. The comprehensive studies will be discussed and the representative results will be presented.

AF-MoP-21 Sequential Exposures of N2H4 + BCl3 on Copper, HOPG and Si0.7Ge0.3 Surfaces
Steven Wolf, Michael Breeden, Mary Edmonds, Kasra Sardashti, Max Clemons (University of California San Diego); Ellie Yieh, He Ren, Srinivas Nemani (Applied Materials); Daniel Alvarez (RASIRC); Andrew Kummel (University of California San Diego)

Boron nitride (BN) has recently gained attention due to useful thermal and mechanical properties, chemical stability, wide bandgap, and ability to be deposited on a range of metallic and semiconducting surfaces. BN can be deposited as a low-k diffusion barrier on interconnects, such as copper, as an insulating/diffusion barrier layer in MOSFET architectures, or on 2D semiconductors, such as graphene, due to their similar structures. Previous studies have shown that ALD with triethylborane and ammonia occurs at temperatures between 600°C-900°C on sapphire and Si [1], but above this range, the process was not self limiting. George et al. showed lower temperature (~500K) ALD was possible with BCl3 and NH3 on ZrO2 nanoparticles, but large exposures were needed [2]. Recent work has shown low temperature ALD hexagonal BN, but with an activated N2/H2 plasma [3]. In this study, low temperature sequential pulses of anhydrous N2H4 and BCl3 were dosed on copper, HOPG, and Si0.7Ge0.3(001) surfaces. The deposited films were characterized using x-ray photoelectron spectroscopy (XPS), scanning tunneling microscopy (STM) and atomic force microscopy (AFM).

The self-limiting and saturating BN ALD with N2H4 and BCl3 was demonstrated on atomic hydrogen cleaned Si0.7Ge0.3(001) at a sample temperature of 350°C. Additional exposures of both N2H4 and BCl3 saturated the respective N 1s and B 1s signals, as monitored in XPS, confirming the ALD process on Si0.7Ge0.3(001). AFM of 60 cycles ALD BN/Si0.7Ge0.3(001) along with C-V and I-V measurements indicated a uniform, pinhole-free film of BN was deposited. On Cu substrates, BN films were similarly deposited with low contamination, but AFM measurements showed an increase in RMS surface roughness after deposition, consistent with the precursors etching the surface. On HOPG, STM measurements indicated nucleation of N2H4 on step edges; however, subsequent exposures etched the surface near the step edge after the initial nucleation. By using reactive anhydrous N2H4, the plasma-less BN ALD was accomplished with lower temperatures and smaller exposures on Si0.7Ge0.3(001); however, evidence of precursor etching on copper and HOPG was observed.

1. Snure, M. et al., Optical characterization of nanocrystalline boron nitride thin films grown by atomic layer deposition. Thin Solid Films, 2014. 571, Part 1: p. 51-55.

2. Ferguson, J. et al., Atomic layer deposition of boron nitride using sequential exposures of BCl3 and NH3. Thin Solid Films, 2002. 413(1): p. 16-25.

3. Haider, A. et al., Temperature Deposition of Hexagonal Boron Nitride via Sequential Injection of Triethylboron and N2/H2 Plasma. J. Am. Ceram. Soc., 2014. 97(12): p. 4052-4059.

AF-MoP-22 Plasma Enhanced ALD of BN, B-doped SiN and B-doped TiN
Moo-Sung Kim (Versum Materials Korea, Republic of Korea); Xinjian Lei (Versum Materials, Inc); Sang-Hyun Yang (Versum Materials Korea, Republic of Korea)

Plasma enhanced ALD has been widely used for depositing various nitride films, and BN and B-doped SiN (SiBN) can be potentially used as low k spacer, and B-doped TiN (TiBN) can be used for increasing work function of TiN. In this study, we have investigated PEALD of BN, B-doped SiN and B-doped TiN with various precursors. We used a novel boron precursor, di-sec-butylaminoborane (DSBAB), and commercially available tris(dimethylamino)borane (TDMAB) as the boron sources. BN films deposited with both boron precursors and N2 plasma showed stoichiometric compositions with low carbon and oxygen impurities. We found BN depositions with these boron precursors showed different ALD windows. ALD window of BN with DSBAB and N2 plasma was 200C~350oC, while BN ALD window with TDMAB and N2 plasma was 300C~400oC. The BN GPC was in the range of 0.1 – 0.14 Å/cy for both precursors. PEALD B-doped SiN films were studied with bis(tertiary-butylamino)silane (BTBAS) and di-iso-propylaminosilane (DIPAS) as silicon precursors and N2 plasma. Boron was incorporated into SiN using super cycles, for example, BNx1 subcycle + SiNx10 subcycles. As shown in Fig.1, boron content could be upto ~13 at. % in the resutling B-doped SiN film with very low carbon and oxygen impurities for both boron precursors, but step coverage and SiN deposition rate improvements were not observed, while Al-doped SiN showed significant improvements of step coverage and SiN deposition rate, as we presented last year [1]. We also studied B-doped TiN (TiBN) with tetrakis(dimethylamino)titanium (TDMAT) as titanium precursor. Boron could be incorporated up to 10 at% into TiN with very low carbon and oxygen impurities. Step coverage of TiBN was excellent with all boron contents, ~100% as shown in Fig.2. Resistivity of BTiN was increased sharply with increasing boron content.

[1] Moo-Sung Kim, et al., poster number P-02-005, ALD 2016, Dublin, Ireland.

View Supplemental Document (pdf)
AF-MoP-23 Scale-Up of Atomic Layer Deposition on Powders in Fixed Bed Reactors
Kristian Knemeyer, V.E. Strempel, Piyush Ingale, Raoul Naumann d'Alnoncourt (BasCat, UniCat BASF JointLab, Technische Universität Berlin, Germany); Arne Thomas, Matthias Driess (Institut für Chemie, Technische Universität Berlin, Germany); Frank Rosowski (BASF SE, Germany)

Atomic Layer Deposition (ALD) is an established technique to coat surfaces in a wide range of applications, e.g. in microelectronics or biomedical applications. Recently it was also used for synthesis or modification of heterogeneous catalysts[1]. Our work focusses on synthesizing and modifying heterogeneous catalysts in the form of powders[2]. A highly versatile ALD setup, consisting of a quartz crystal microbalance (QCM), a thermal magnetic suspension balance and a large fixed bed reactor, was built for developing ALD processes and scale-up of catalyst synthesis[3].

A special version of the IsoSorp® from Rubotherm[4] was used as a fixed bed reactor, holding a volume of 1.5 mL, which allows us to monitor the mass gain in situ on our high-surface area powders in real time. Combined with a quadrupole mass spectrometer (QMS) suitable process parameters of the reaction can be determined and used for a scale up of the process in a fixed bed reactor with a 20 times bigger volume. As proof of concept ALD of phosphorus oxides (POx) on vanadium oxide powder was executed using trimethyl phosphite (TMPT) as a precursor and oxygen/ozone as reactant. Preliminary tests in the balance were performed and show a self-limiting mass gain in each half cycle (Fig. 1 in SI). Knowing the ALD window and dosing times we successfully transferred this process to a large fixed bed reactor. The per cycle grown phosphorus amount was determined in an experiment in which the fixed bed was separated into eight fractions by quartz wool and every consecutive cycle a partition was removed and analyzed.

[1] B. J. Oneill, D. H. K. Jackson, J. Lee, C. Canlas, P. C. Stair, C. L. Marshall, J. W. Elam, T. F. Kuech, J. A. Dumesic, and G. W. Huber, ACS Catal., vol. 5, no. 3, pp. 1804–1825, 2015.

[2] V. E. Strempel, D. Löffler, J. Kröhnert, K. Skorupska, B. Johnson, R. N. D’Alnoncourt, M. Driess, and F. Rosowski, J. Vac. Sci. Technol. A Vacuum, Surfaces, Film., vol. 34, no. 1, p. 01A135, 2016.

[3] V. E. Strempel, K. Knemeyer, R. Naumann d’Alnoncourt, M. Driess, F. Rosowski, “Atomic Layer Deposition on powders with in situ gravimetric monitoring in a modular fixed bed reactor setup”, in preparation.

[4] http://www.rubotherm.com/optionen-en.html

View Supplemental Document (pdf)
AF-MoP-24 Measurement and Control of Stress of ALD Films and Nano-laminates Measured by Interferometry
Ritwik Bhatia (Ultratech)

Traditional applications of ALD, typically in the microelectronics industry, have been skewed towards thin (sub 20nm) films. However, as the ALD application space has grown, so have the applications with thicker ( ≥ 100nm) ALD films – examples include encapsulation for OLEDs , optical filters, etc. Further, many of these applications involve deposition on “soft” substrates like polymers and biological materials. ALD films are typically tensile and have an intrinsic tensile stress of the order of 100MPa. The combination of thick tensile films with soft substrates leads to problems related to de-lamination, cracking of substrate and/or cracking of film. While some work has been done in the field of stress measurement [1,2,3], there is a need for a better understanding of the origin of film stress and how it can be controlled.

In this work, stress is measured via interferometry – which measures very small changes displacement of the substrate surface. Local curvature change is inferred from displacement and stress is calculated using Stoney’s equation. The high sensitivity of the technique allows stress measurement for thinner films which is useful to understand the evolution of stress as a function of film thickness/added layers in a nano-laminate.

This work focuses on stress of metal oxide films. We will discuss the impact of precursor and oxidant on intrinsic film stress of single component ALD films like Al2O3, TiO2, ZrO2 etc. Figure-1 shows the topography change induced by a 108nm Al2O3 film deposted at 190oC, and Figure-2 shows the corresponding stress (338MPa). We will also discuss the effect of film composition on stress by growing multi-component films as nano-laminates or as doped films.

References:

1. Tripp et al, Sensors and Actuators A 130–131 (2006) 419–429; doi:10.1016/j.sna.2006.01.029

2. Behrendt et al, ACS Appl. Mater. Interfaces, 2016, 8 (6), pp 4056–4061; doi: 10.1021/acsami.5b11499

3. Vlivaara at al, J. Vac. Sci. Technol. A 35, 01B105 (2017); doi: 10.1116/1.4966198

View Supplemental Document (pdf)
AF-MoP-25 Temperature Dependent Kinetics of ALD Reaction: SiN PEALD Study
Triratna Muneshwar, Ken Cadien (University of Alberta, Canada)

Precursor (or Reactant) surface reaction in ALD fundamentally consists of (i) physisorption, (ii) desorption, and (iii) chemisorption events, occurring simultaneously at the substrate. The physisorption rate depends upon the instantaneous precursor partial pressure, whereas both desorption and chemisorption rates depend upon the substrate temperature (Tsub). Hence, in a true-ALD process the precursor dose Φpre and Tsub are not independent variables and the self-limiting surface reaction is a function of (Φpre, Tsub) combination. This is contrary to the conventional approach adopted in ALD process development, wherein the temperature window is determined at a fixed Φ (Φpre and Φreac) and respective saturation curves are derived at a fixed Tsub. A first-principle analytical model illustrating this combined effect of Φpre and Tsub on self-saturation of ALD surface reactions is presented. For SiN PEALD process, the experimental results are shown to be in good agreement with model calculations. Furthermore, we show that SiN PEALD growth is self-limiting at Tsub = 100 °C and 150 °C with a constant GPC of 0.034 nm/cycle, and the minimum precursor dose necessary for surface saturation increases with Tsub (i.e. 0.10s at 100°C and 0.30s a 150 °C). In agreement with model calculations, the non-ideal saturation at Tsub > 200 °C is explained from the increase in the desorption rate that restricted surface saturation under experimental conditions.

View Supplemental Document (pdf)
AF-MoP-26 Process Development and Characterization of the Atomic Layer Deposited MoS2
Tian-Bao Zhang, Jing Xu, Yang Wang, Lin Chen, Qing-Qing Sun, Hao Zhu, Shi-Jin Ding, David Wei Zhang (Fudan University, China)
The two-dimensional transition metal disulfide gradually attracted widespread attention due to its semiconductor band gap and its fascinating electrical and optical properties. Compare with the other deposition methods, like physical vapor deposition (PVD) or chemical vapor deposition (CVD), Atomic Layer Deposition(ALD) has the advantage of excellent step coverage, uniformity and thickness controllability. We synthesized large-scale and thickness-controllable MoS2 films on SiO2/Si substrate by ALD at 150oC with molybdenum hexcarbonyl and hexamethyldisilathiane (HMDST). HMDST is introduced to make the process free from high toxic sulfur precursors such as H2S and CH3SSCH3. X-ray photoelectron spectroscopy (XPS), X-ray reflection (XRR), atomic force microscopy (AFM) are used to characterize the chemical composition, thickness and roughness of the MoS2 films. The as-grown MoS2 film is amorphous due to the low growth temperature. We further demonstrated the effects of post-deposition annealing on the MoS2 films by different temperature, time and ambient respectively. Post-deposition annealing at high temperature in sulfur vapor efficiently improves the film properties including the crystallinity and chemical stoichiometry and reduces the impurity contents in the films. This work opens up an attractive approach to synthesize high quality 2D materials.
AF-MoP-27 Low Energy Ion Scattering (LEIS) Analysis of ALD Deposited GaSb Films on SiO2
Philipp Brüner (ION-TOF GmbH, Germany); Thomas Grehl (ION-TOF GmbH); Rik ter Veen (Tascon GmbH, Germany); Michael Fartmann (Tascon GmbH); Tom Blomberg, Marko Tuominen (ASM, Finland)

GaSb is a promising candidate for a III-V channel material for future metal-oxide-semiconductor field-effect transistors (p-MOSFETs) due to its high hole mobility. In this study, GaSb films were grown on SiO2 in a Pulsar 2000 reactor, using GaCl3 and Sb(SiMe3)3 precursors at 110 °C. Samples were removed after 1 – 100 ALD cycles to study the growth behaviour by means of low energy ion scattering (LEIS). Prior to the LEIS analysis in a UHV chamber, atmospheric contaminations were removed by exposing the samples to atomic oxygen. In LEIS, noble gas ions are scattered off the sample surface, and the surface elemental composition is determined quantitatively by measuring the energy and number of the backscattered ions. As ions scattered in the second or deeper atomic layers are efficiently neutralized and lose additional energy by electronic and nuclear stopping, their contribution to the total spectrum is easily distinguished from top atomic layer scattering. This leads to an extreme surface sensitivity of just one atomic layer, while additional information about deeper layers up to a depth of about 10 nm is contained in the tails of the peaks.

We show how the different pieces of information contained in a LEIS analysis are optimized by varying the species and energy of the primary ions. As only target atoms heavier than the incoming ions are visible in a backscattering setup, 3 keV He+ ions are used to obtain the full elemental spectrum of the sample surface, including any unexpected contaminations. As the LEIS analysis is sensitive to the topmost atomic layer, the point of layer closure is accurately determined by the disappearance of the Si signal from the substrate.

Ne+ ions on the other hand offer increased mass resolution and enhanced sensitivity to heavier elements, which makes a Ne analysis ideally suited to accurately quantify the Ga/Sb coverage and ratio as a function of ALD cycle number.

Ions scattered in deeper layers experience an additional energy loss proportional to their penetration depth. Evaluating this sub-surface signal allows the accurate determination of layer structures and layer thickness. We show how growth curves are extracted from LEIS spectra acquired using 7 keV He+ ions.

The entirety of this information makes LEIS an ideal tool to study the early stages of film growth, which is crucial to understand and optimize the deposition conditions. For instance, variations in the Ga and Sb surface fractions over the course of the deposition process are detected (Fig. 1). These fine nuances in the sample surface composition would go unnoticed with other, less surface sensitive techniques that integrate over several monolayers.

View Supplemental Document (pdf)
AF-MoP-28 Alternative Plasma Gas Chemistries for Plasma Enhanced Atomic Layer Deposition and the 2016 PEALD Publication Review
Plasma-ALD Guy (www.plasma-ald.com)

Plasma-enhanced atomic layer deposition (PEALD) has been an active research area for several decades. Replacement of thermal ALD molecular co-reactants with highly reactive plasma generated radicals offers multiple potential advantages in film selection, film properties, and process requirements. Most PEALD studies have utilized a small set of plasma gases including O2, N2, NH3, and H2. However, a number of studies have chosen plasma gases outside this primary set. Alternate oxygen source plasma gases include H2O vapor, N2O, and CO2. Deposition of carbides and sulfides have utilized CH4 and H2S plasma gases, respectively. Fluorine doping has been accomplished through addition of CF4 to the plasma gas mix. Admixing D2 to the plasma gas has provided insights into the surface reaction mechanism. We will discuss these interesting examples of "outside the box" PEALD applications.

Additionally, we will continue our tradition of annual reviews of the PEALD literature. Although publications from as early as 1991 can be said to have used a PEALD-like process, the popularity of the technique really begins to grow starting in the early 2000s. As can be seen in the plot below1, the annual number of PEALD publications has grown substantially increasing from single digits in 2001 to over 240 in 2015. So far, 213 PEALD publications from 2016 have been identified. We will analyze the trends in PEALD literature including film composition, hardware, precursors, and region focusing on 2012 through 2016.

1. www.plasma-ald.com database

View Supplemental Document (pdf)
AF-MoP-29 Characterization of Ultra-thin ALD Coating in Mesoporous Silicon Layers
Andras Kovacs, Ulrich Mescheder (Furtwangen University, Germany)

One-dimensional photonic crystals (1D PC) have been fabricated using electrochemical etching process and used for characterization of ultra-thin ALD coatings in mesoporous layers, especially in the low mesoporous range. Rugate filters with pronounced peak position were fabricated with sinusoidal current density profile, with pore sizes in the low mesoporous range (4–10 nm), aspect ratio up to 3000 and specific surface area up to 700 m²/cm³. The sinusoidal current profile causes a sinusoidal pore size variation in the layer depth. The spectral shift of the main peak is an indicator for surface processes in the nanostructured layer. Material transformation (e.g. oxidation) of the substrate material causes blue shift and pore coating red shift of the peak position which is a result of the corresponding refractive index change of the individual layers and pore coatings. Simulation results show that even ultra-thin pore coatings in the sub-nm range, i.e. very small pore size reduction can be analyzed with this optical device and spectroscopic measurement method. Ultra-thin HfO2 layers were deposited on the nanostructured 1D PC surface using alternating dose sequences of hafnium precursor (TEMAH) and H2O combined with N2 purge processes. The thermal ALD coating process was carried out at 300°C with and without TEMAH precursor dose sequence to investigate the influence of the material transformation of the huge specific substrate surface especially in the first process cycles. Experiment results using native silicon-based rugate filters and the applied ALD process sequences show that the blue peak shift, i.e. the surface transformation (oxidation) process is dominant in the first process cycles (smaller than 8 cycles) both with and without precursor dose sequence. The saturation effect of the blue shift in the case of the pure H2O dose process indicates that the surface stabilization after approximately 8 cycles is completed. The red peak shift, an indicator of the effective HfO2 coating process was observed after 8 process cycles. After this first process phase the red shift is continuously increasing, i.e. the thickness of the coating layer increasing and the pore size is decreasing till the complete pore sealing of the smallest pores and further HfO2 coating of the top layer. The effective coating thickness and pore size reduction is defined by the combination of the surface stabilization and coating process. The applied silicon-based 1D PC and spectral measurement delivers rapid information about the material transformation, effective pore coating and pore size reduction of the mesoporous layer.

View Supplemental Document (pdf)
AF-MoP-30 Hydrogen Impurities in Al2O3 Thin Films using TMA and Heavy Water as Precursors
Sami Kinnunen, Kai Arstila, Manu Lahtinen, Timo Sajavaara (University of Jyväskylä, Finland)

Al2O3 films were deposited (Beneq TFS 200 reactor) on silicon substrate using trimethylaluminium (TMA) as an aluminium source and H2O or D2O as an oxygen source. Deposition temperature was varied between 70 and 120 °C. Time of flight elastic recoil detection analysis (ToF-ERDA) was used to determine elemental composition of the films. ToF-ERDA can resolve isotopes with different masses and this was utilized to study hydrogen impurity sources in the as deposited films. In addition, FTIR and Raman spectroscopy were used to study film chemistry and XRR to determine film thickness and mass density. Surface morphology was studied by means of helium ion microscopy.

Replacing water with D2O might not be as straightforward as previously thought [1] when studying reaction mechanisms. Aluminium oxide films deposited with D2O have roughly 30 % lower growth per cycle and total hydrogen content in the films differs from the films deposited with H2O. Growth temperature plays an important role on source of hydrogen impurity and process chemistry. Moreover hydrogen and deuterium do not distribute evenly in the films (Figure 1) when deposition temperature is 80 °C or higher.

Therefore rare isotope based reaction mechanism studies might not be fully applicable for conventional ALD processes and great care must be taken when drawing conclusions from the isotope studies.

[1] M. Juppo, A. Rahtu,M. Ritala, and, and M. Leskelä. In Situ Mass Spectrometry Study on Surface Reactions in Atomic Layer Deposition of Al2O3 Thin Films from Trimethylaluminum and Water. Langmuir 2000 16 (8), 4034-4039

View Supplemental Document (pdf)
AF-MoP-31 Density Functional Theory Calculation on the Reaction Between Different Nitriding Agents and Chlorine-terminated Silicon Nitride Surface
Luchana Yusup, Tirta Rona Mayangsari, Jae-Min Park (Sejong University, Republic of Korea); Young-Kyun Kwon (Kyung Hee University, Republic of Korea); Won-Jun Lee (Sejong University, Republic of Korea)

Major applications of silicon nitride in semiconductor manufacturing include the sidewall spacer of CMOS devices and the charge trap layer in three-dimensional NAND flash devices. The atomic layer deposition (ALD) is the most promising method for depositing silicon nitride with high conformality. Plasma enhanced ALD (PEALD) process are gaining attention due to lower deposition temperature and lower saturation dose as compared with thermal ALD. Experimental and theoretical studies on the PEALD of silicon nitride using aminosilane precursors showed that N2 plasma give the highest growth rate, and the reaction was inhibited by H-containing plasma, such as NH3 and N2/H2 [1]. Theoretical study investigating the effect of nitriding agent in thermal ALD or PEALD of silicon nitride processes is rare to date. In the present study, we investigated the reactivity of nitriding agent during the second half-reaction using density functional theory (DFT) calculations. The reactions of different nitriding agents, including NH3, NH2, N2, and N, with chlorine-terminated silicon nitride surface were modeled in order to mimic the reaction in the thermal ALD and PEALD processes. The total energies of the geometry-optimized structures for physisorption, chemisorption, and transition state were calculated for each nitriding agent. The reaction of NH3 with the chlorine-terminated surface was energetically favorable, while there was no observed reaction for N2 with the surface. The single NH2 ion reacts with the surface to form NH2Cl, and two NH2 ions also prefer to produce two NH2Cl molecules. Contrarily, the single N ion reacts with the surface to form NCl, however, two N ions prefer to react with each other to form the N2 molecule without the removal of chlorine atoms from the surface. Expectations from DFT calculation are in a good agreement with related experimental results.

[1] L. Huang et al., Phys. Chem. Chem. Phys. 16 (2014) 18501.

AF-MoP-32 Edge-On MoS2 Thin Films by Direct Atomic Layer Deposition for Hydrogen Evolution Reaction
Changdeuck Bae, Thi Anh Ho, Hyunjung Shin (Sungkyunkwan University)
The edge sites of molybdenum disulfide (MoS2) have been shown to be efficient electrocatalysts for the hydrogen evolution reaction (HER). To utilize these structures, two main strategies have been proposed. The first strategy is to use amorphous structures, which should be beneficial in maximizing the area of the edge-site moieties of MoS2. However, these structures experience structural instability during HER. The other strategy is nanostructuring, in which, to enhance the resulting HER performance, the exposed surfaces of MoS2 cannot be inert basal planes. Therefore, MoS2 may need critical nanocrystallinity to produce the desired facets. Here, we first describe that when atomic layer deposition (ALD) is applied to layered materials such as MoS2, MoS2 exhibits the non-ideal mode of ALD growth on planar surfaces. As a model system, the ALD of MoCl5 and H2S was studied. This non-ideality does not allow for the conventional linear relationship between the growth thickness and the number of cycles. Instead, it provides the ability to control the relative ratios of the edge-sites and basal planes of MoS2 to the exposed surfaces. The number of edge sites produced was carefully characterized in terms of the geometric surface area and effective work function and was correlated to the HER performance, including Tafel slopes and exchange current densities. We also discussed how, as a result of the low growth temperature, the incorporation of chlorine impurities affected the electron doping and formation of mixed 2H and 1T phases. Remarkably, the resulting 1T phase was stable even upon thermal annealing at 400 ºC. With the simple, planar MoS2 films, we monitored the resulting catalytic performance, finding current densities up to 20 mA cm-2 at -0.3 V versus the reversible hydrogen electrode (RHE), a Tafel slope of 50 to 60 mV/decade, and an onset potential of 143 mV versus RHE.
AF-MoP-33 Role of Initial Precursor Chemisorption on Incubation Delay for Molybdenum Oxide Atomic Layer Deposition
Charith Nanayakkara (EMD Performance Materials); Abraham Vega (University of Texas at Dallas); Guo Liu, Charles Dezelah, Ravindra Kanjolia (EMD Performance Materials); Yves Chabal (University of Texas at Dallas)

Atomic layer deposition (ALD) is an attractive technique for thin film deposition due to its sequential and self-limiting surface reactions leading to conformal and controlled film growth. The nucleation of the precursor molecule to the substrate is extremely important to get an ideal ALD process. Any nucleation delay may initially lead to non-uniform island growth, requiring several cycles to obtain continuous and more homogeneous films. Therefore, effective nucleation by chemical reaction of the precursor molecule with the substrate is critical to obtain uniform ALD grown films, particularly ultrathin films

Molybdenum oxide thin films are important for a number of electrical, catalytic, and optical applications. Several Mo precursor-oxidant combinations have been used. For instance, molybdenum hexacarbonyl and ozone (ALD window of 152 - 172 °C),1 and bis(tert-butylimido)bis(dimethylamido) molybdenum and ozone. (ALD window of 250 - 300 °C)2

Here, we introduce a new molybdenum precursor, Si(CH3)3CpMo(CO)23-2-methylallyl)) (MOTSMA), which has a good thermal stability (>200 °C ), higher volatility with increased vapor pressure (3s exposure results 0.6 Torr gas phase pressure with bubbler at 90 °C), and increased deposition rates. As is often observed in atomic layer deposition (ALD) processes, the deposition of molybdenum trioxide displays an incubation period (∼ 15 cycles at 250 °C). In situ FTIR spectroscopy reveals that ligand exchange reactions can be activated at 300 °C, leading to a shorter incubation periods (e.g., ∼ 9 cycles). Specifically, the reaction of MOTSMA with OH-terminated silicon oxide surfaces appears to be the rate limiting step, requiring a higher temperature activation (350 °C) than the subsequent ALD process itself, for which 250 °C is adequate. Therefore, in order to overcome the nucleation delay, the MOTSMA precursor is initially grafted at 350 °C, with spectroscopic evidence of surface reaction, and the substrate temperature then lowered to 250 or 300 °C for the rest of the ALD process. After this initial activation, a standard ligand exchange is observed with formation of surface Si(CH3)3CpMo(η3-2-methylallyl) after precursor and its removal after ozone exposures, resulting in Mo(═O)2 formation. Under these conditions, the ALD process proceeds with no nucleation delay at both temperatures. Postdeposition X-ray photoelectron spectroscopy spectra confirm that the film composition is MoO3. This work highlights the critical role of precursor grafting to the substrate as essential to eliminate the nucleation delay for ultrathin ALD grown film deposition.

1. J. Mat. Chem. 2011, 21, 705

2. J. Vac. Sci. & Tech. A 2014, 32, 01A119
AF-MoP-34 Precursor Screening for Low Temperature Atomic Layer Deposition of SiO2 using Ozone
Dingkai Guo, Bryan Hendrix, Tom Baum (Entegris Inc.)

In this work, we compare the SiO2 ALD deposition behavior of several silicon precursors with different types of Si bonding and molecular structures. The experiments were conducted on a cross-flow thermal ALD tool (Ultratech CNT S200) using deposition temperatures ranging from 50 to 300ºC and the co-reactants H2O, O2 and 30wt% O3 in O2. None of the precursors tested in this study had significant deposition rates with O2 or H2O co-reactants. Precursors dominated by Si-O bonds also exhibited low deposition rates using O3 as the co-reactant. Several Si-N bonded precursors, however, exhibited good deposition rates: Tert-pentylaminosilylene (TAS), Diisopropylaminosilane (DiPAS), Hexakis(ethylamino)disilane (HEADS), Bis(diethylamino)silane (BDEAS) and Tetramethylbis(dimethylhydrazino)disilane (SiNCH). Films from these precursors were characterized for deposition rate, index of refraction, dilute HF etch rate (WER), FTIR analysis, and step coverage.

Most precursors exhibited a decreasing deposition rate as temperature dropped below 150°C. However, TAS shows a steady rate at about 0.3Å/cycle, and HEADS increases to over 1Å/cycle. At temperatures below 150°C, the deposition requires longer O3 pulses to become saturated, to decrease the etch rate, and improve the overall etch resistance.

View Supplemental Document (pdf)
AF-MoP-35 Surface Treatments on Vertically Aligned Carbon Nanotube Forests for Atomic Layer Deposition
David Kane, Richard Vanfleet, Robert Davis (Brigham Young University)

Carbon Nanotube Templated Microfabrication (CNT-M) is a fabrication approach that uses the unique geometries afforded by vertically aligned carbon nanotube forests and thin film deposition on these forest to create structures with application in MEMS, chromatography, sensors, energy storage, and other areas. Thin film deposition into the highly porous nanotube forest structures requires a careful balance of diffusion and reaction rate. While some chemical vapor deposition approaches can be tuned to give adequate results, ALD may be the optimal approach. With ALD, the diffusional transport and eventual depositing reaction can be uncoupled to allow uniform deposition deep into the extreme geometries of these forests.

ALD on CNT forests presents a difficult set of challenges. The spacing between nanotubes is on the order of 100 nm while the desired penetration depth can be millimeters. Simple models give diffusion times that depend on the square of the depth and the inverse of the spacing. Thus, cycle times must be long and would need to increase substantially as the pores become smaller due to deposition. During the ALD process, as nuclei of the deposited material form and grow, the number of precursor binding sites increases significantly. This increases diffusion times and dosing needs for full ALD saturation coverage.

To produce a smooth film on the nanotubes, the deposition thickness should be greater than the spacing between nucleation sites. Nucleation site density can be increased by priming the surface with various treatments, including carbon infiltration, ozone or oxygen plasma. Similar penetration and reaction issues are important here as is seen with CVD and ALD depositions.

We will present results on penetration of surface treatment processes (carbon, ozone, and oxygen plasma) into CNT forests, nucleation site densities on these surfaces, and ALD on these high aspect ratio CNT forests.

AF-MoP-36 Quantum Chemical Design for Kinetically Enhanced ALD Precursors
Thomas Mustard (Schrodinger, Inc.); Charles H. Winter (Wayne State University, USA); Mathew Halls (Schrodinger, Inc.)
First-principles simulation has become an important tool for the prediction of structures, chemical mechanisms, and reaction energetics for the fundamental steps in atomic layer deposition (ALD). Details of reaction energetics for competing surface reaction pathways can be elucidated to provide the fundamental understanding of observed precursor reactivity and selectivity. Such predictive capability raises the possibility for computational discovery and design of new ALD precursors with tailored properties. Interactive studies of the thermochemistry controlling reactive precursor performance can give insight into structure-property relationships, which informs development efforts. We present a mechanism for the reduction of TiCl4 using 1,4-bis(trimethylsilyl)-1,4-dihydropyrazine (DHP) and 1,4-bis(trimethylsilyl)-2,5-cyclohexadiene (CHD). From the reaction coordinates the forces controlling kinetic reactivity can be used to redesign a new family of reducing agents. These new reducing agents, based on 1,4-bis(trimethylsilyl)-1,4-dihydropyridine (DH-p), combine the best of CHD and DHP to enhance kinetic reactivity.
AF-MoP-37 QDB: A Database of Plasma Process Data
Christian Hill, Sara Rahimi, Dan Brown, Anna Dzarasova (Quantemol Ltd, UK); James Hamilton, Keir Wren-Little (University College London, UK); Sebastian Mohr (Quantemol Ltd, UK); Jonathan Tennyson (University College London, UK)

Plasma-assisted atomic layer deposition processes have become more and more popular and increasingly enable better control and achieve high precision[ 1] . Plasma processes are widely used in semiconductor manufacturing and are notoriously hard to control. One of the key factors in plasma chamber design and process optimisation becomes modelling of the plasma kinetics and understanding of plasma–surface interaction. This is also a key to understanding processes on the atomic scale where different laws of physics could apply and scaling becomes non-linear.

We have established a database[2] for plasma chemistry including surface interactions, QDB, which aims to become a basis for ALD modelling development for tool manufacturers and others interested in research in this area[3].

The web software provides a platform for users to upload, compare and validate such data and exposes an API for its automated retrieval in a range of formats suitable for use in modelling software. The service currently has both academic and commercial users and its development is overseen by an international Advisory Board comprised of active researchers in theoretical and experimental plasma science. Data is input from both experimental and theoretical sources by Quantemol staff and by our community of users.

In this presentation we will describe recent developments in QDB: the increased provision of data relating to (a) heavy-particle processes (chemical reactions) and (b) the interaction of particles with surfaces. This has required the expansion of the QDB data model to include a characterization of the surface (substrate) composition and structure as well as the description of the behaviour of individual adsorbed species (desorption energy, diffusion energy, etc.)

It is hoped that the database and its associated online web application software and API will prove useful to the Atomic Layer Deposition community, particularly in commercial and research areas related to plasma-enhanced processes: an illustrative example is given in our presentation.

QDB is available at [https://www.quantemoldb.com/]

Acknowledgment: this project has received funding from the Electronic Component Systems for European Leadership Joint Undertaking under grant agreement No 662133. This Joint Undertaking receives support from the European Union’s Horizon 2020 research and innovation programme and Austria, Belgium, Germany, Italy, Netherlands, Norway, Slovakia, Spain, United Kingdom

[1] H. B. Profijt et al., J. Vac. Sci. Technol. A 29 , 050801 (2016)

[2] J. Tennyson et al., Plasma Sources Sci. Technol. , (submitted)

[3] Markku Leskelä and Mikko Ritala, Angew. Chem. Int. Ed. 42 , 5548 (2003)

AF-MoP-38 Surface Functionalization of Few-layer MoS2 for Atomic Layer Deposition using Gold Chloride Salts
Jaron Kropp, Theodosia Gougousi (University of Maryland, Baltimore County)

Transition metal dichalcogenides (TMDs) such as MoS2 have attracted much interest in the field of nanoelectronics in recent years. These are layered materials with a hexagonal structure similar to graphene. Unlike graphene, however, TMDs are semiconducting materials. While the bulk materials have an indirect band gap, monolayers of MoS2, WS2, etc. possess a direct band gap1. As such, they have attracted interest for use as a channel material in field-effect transistors. An important feature of the modern field-effect transistor is the gate dielectric. Recently, the electronics industry has transitioned from using native silicon oxide as the gate dielectric to using high-k metal oxides deposited via atomic layer deposition (ALD). Thus, integration of metal oxides on TMDs is of great importance for the use of TMDs in field-effect devices. Unfortunately, TMD surfaces are hydrophobic and not conducive to ALD2,3. As such, the surfaces must be functionalized prior to deposition. Here, we report a novel wet chemistry method for functionalization of MoS2 surfaces using gold chloride salts.

Exfoliated MoS2 surfaces are treated by immersion in an aqueous HAuCl4 solution for 5-30 seconds and are subsequently subject to atomic layer deposition of 3 nm of Al2O3 using trimethylaluminum (TMA) and water as precursors. We measure the effectiveness of the surface treatment by investigating the post-deposition surface topography using atomic force microscopy (AFM). Immersion of the MoS2 flakes in the gold chloride solution leaves behind adsorbed gold chloride molecules which render the surface hydrophilic and amenable to the growth of aluminum oxide films. While untreated surfaces show island growth (Figure 1a), immersion for as little as 10 seconds results in smoother, more conformal films (Figure 1b). Film growth is confirmed using energy dispersive x-ray spectroscopy (EDX). The elemental maps for sulfur and aluminum are shown in Figures 1c and 1d, respectively.

References:

(1) Mak, K. F.; Shan, J. Nat. Photonics 2016, 10 (4), 216–226.

(2) Gaur, A. P. S.; Sahoo, S.; Ahmadi, M.; Dash, S. P.; Guinel, M. J.-F.; Katiyar, R. S. Nano Lett. 2014, 14 (8), 4314–4321.

(3) Kobayashi, N. P.; Donley, C. L.; Wang, S.-Y.; Williams, R. S. J. Cryst. Growth 2007, 299 (1), 218–222.

View Supplemental Document (pdf)
AF-MoP-39 Atomic layer deposition of ZrO2 thin film using a novel linked cyclopentadienyl-amido Zr precursor
Mira Park, Jun Hyuck Kwon, Youngjae Lee, Jong Ryul Park, Suhyun Kim, Hyojin Ahn, Suhyong Yun, Jung Woo Park (Hansol Chemical, Republic of Korea)

The ZrO2 film exhibits a high permittivity, a wide band gap (4.6 – 5.8 eV), a suitable band offset on Si (1.4 eV), a low leakage current level and good thermal stability. For these reasons, the ZrO2 thin film finds applications in dynamic random access memory (DRAM) capacitors and metal oxide semiconductor field-effect transistors (MOSFET). In this study, we introduce a novel linked cyclopentadienyl-amido Zr precursor, namely CMENZ (1). Also we compare the properties of the ZrO2 thin film of 1 to those of commonly used CpZr(NMe2)3 and (CpCH2CH2NMe)Zr(NMe2)2 (2) by atomic layer deposition (ALD).

The evaporation characteristics of 1, CpZr(NMe2)3 and 2 were investigated by thermogravimetric analysis (TGA). The amount of residue was about <2.6% for 1, which had a less residue compared to 2 (5%). For both precursors 1 and 2, the characteristic self-limiting ALD growth mode was confirmed. However, the self-saturation time of 1 (3s) was shorter than 2 (7s). The growth rate of 1 was 0.95 Å/cycle with ozone as a reactant gas and showed a wide ALD window in a range of 280–340 °C. This ALD window of 1 was noteworthy compared to CpZr(NMe2)3 which did not show ALD behavior above 300 °C due to the thermal decomposition. In addition, the deposited film of 1 represented better uniformity compared to that of 2. From this study, it is expected that the 1 could be served as a promising precursor for industrial use because of the fast self-saturation and wide ALD window.

View Supplemental Document (pdf)
AF-MoP-40 Surface Oxidation of Titanium Oxynitride Films Prepared by PEALD
Justyna Łobaza, Małgorzata Kot (Brandenburg University of Technology Cottbus-Senftenberg, Germany); Franziska Naumann, Hassan Gargouri (Sentech Instruments, Germany); Karsten Henkel, Dieter Schmeißer (Brandenburg University of Technology Cottbus-Senftenberg, Germany)

The oxygen content within titanium oxynitride (TiON) thin films is crucial for the choice of their application. Moreover, the exposure of the films to ambient air is known to cause surface oxidation [1].

In this work, we study the surface oxidation process of TiON deposited in SENTECH ALD system SI ALD LL. The TiON thin films were prepared by plasma-enhanced atomic layer deposition (PEALD) on silicon substrate using tetrakis(dimethylamino)titanium (TDMAT) and N2 plasma. We carry out Ar+ ion sputtering of the film surface in combination with X-ray photoelectron spectroscopy (XPS) (Fig. 1, Supporting Information) as well as angle-resolved XPS for this analysis. After the calibration of the sputter rate, the sputter-time is optimized in order to ensure a near-surface analysis. We find that the thickness of the surface oxidation layer is below 1 nm (Fig. 2, Supporting Information). This result combined with ARXPS data and previously conducted XPS studies using synchrotron excitation [2,3] delivers an entire picture of the complete film configuration (depth profiling) prepared by this PEALD process.

[1] M. Sowinska et al., Appl. Phys. Lett. 100 (2012) 233509.

[2] M. Sowinska et al., Appl. Surf. Sci. 381 (2016) 42.

[3] M. Sowinska et al., Surf. Coat. Technol. (2016) DOI: 10.1016/j.surfcoat.2016.11.094.

View Supplemental Document (pdf)
AF-MoP-41 Characterization of SiNx Plasma Enhanced Atomic Layer Deposition Process
Sun Jung Kim, Sang Heon Yong, Heeyeop Chae (Sungkyunkwan University (SKKU), Republic of Korea)

In the semiconductor structure, silicon nitride (SiNx) performed various roles such as gate spacer, gate dielectrics, and charge trap layer [1]. Especially, the structure of non-volatile memory was developed from primary forms using floating gate to charge trap flash (CTF) in early 2000’s using trap SiNx layer [2]. As the demand for high integration density increases, the structure of non-volatile memory was modified 3D vertical NAND (V-NAND) with a conventional CTF structure. In many processes the demand for low temperature processes are increasing and plasma-enhanced ALD (PEALD) process is being adopted more in many fabrication steps.[3]

In this work, PEALD processes with trisilylamine (TSA) and NH3 plasma were investigated. The SiNx thin film was deposited in an inductively coupled plasma (ICP) type reactor. PEALD process generates reactive radicals and ions with which it can reduce reaction temperature. The effect of various process variables was investigated in the PEALD process such as plasma power, flowrate of reactant gas, and substrate temperature. The chemical composition and binding energy of SiNx films were investigated with X-ray photoelectron spectroscopy (XPS). Quadrupole mass spectroscopy (QMS) was directly connected PEALD chamber for in-situ analysis of reactant gases. Using a QMS analyzer, we confirmed injection of each gas during PEALD process. From mass spectrum data at NH3 plasma step, we can characterize intensity of NHx radical by changing process variables.

References

[1] W. Jang, H. Jeon, C. Kang, H. Song, J. Park, H. Kim, H. Seo, M. Leskela, and H. Jeon, Phys. Status Solidi A, Vol. 211, No.9, pp. 2166-2171 (2014).

[2] C. Lu, J. Nanosci. Nanotechnol., Vol. 12, pp.7604-7618 (2012).

[3] T. Faraz, M. van Drunen, H. C. M. Knoops, A. Mallikarjunan, I. Buchanan, D. M. Hausmann, J. Henri, and W. M. M. Kessels, Appl. Mater. Interfaces, Issue 9, pp. 1858-1869 (2017).

View Supplemental Document (pdf)
AF-MoP-42 Transient Response of ALD-QCM with Synchronized Back Pressure Control of Sensor Head
Shuji Tanaka (Tohoku University, Japan); Kousuke Hikichi (Techofine Co., Japan); Masafumi Kumano (Tohoku University, Japan)

In an ALD system with multi element sources, many precursor and reactant gas molecules are transported with individual lines to a reactor chamber, causing a difficulty of complete purge in short time during each ALD step. To clear this problem, dual purge method has been developed, where just after shutdown of precursor and reactant gas flow, a pulsed purge gas flow is super imposed from upstream on the continuous purge gas flow, then gas molecules in the transport lines should be pushed out quickly to the reactor chamber 2). During each ALD cycle, continuous purge gas flow of 1 to 3 sccm is set and pulsed purge gas volume of 10-20ml at 10-20torr is imposed just after the precursor or reactant gas flow valve shut off . This pulsed purge gas flow generate a relatively large repetitive pressure increase in the reactor , which push the continuous sensor back purge flow back to the holder and leads to an unwanted ALD coating inside the sensor crystal holder.

To avoid this problem, second pulse purge flow , synchronized to the first pulse purge is super imposed to the QCM continuous back purge . System has been constructed and applied to a commercially-available high temperature QCM head (Inficon, model 750-717-G3, Adjustable ALD sensor. QCM response with synchronous back purge shows instantaneous pressure difference between reactor chamber(QCM sensor front side) and QCM sensor back side (inside of the holder). First pulse purge (for the reactor ) generates pressure wave ( peak pressure is lower than purge gas storage pressure). During the reactor pulse purge , second pulse purge (QCM back purge) gas is kept on and the sensor backside pressure (in the holder) is higher than the reactor pressure . As a result, no back flow from the reactor to sensor holder occur during the ALD pulse purge period. After the reactor purge time is over, synchronized purge also shut down, the pressure difference disappear and QCM frequency drop off to a low frequency .

In conclusion, transient response of the synchronous back purge of the QCM head can be well controlled and effective to minimize the perturbation from purge gas flow around the sensor head..

  1. S. C. Riha et al., Rev. Sci. Instr. , 83 (2012) 094101

  2. M.Kumano, K.Hikichi, S.Tanaka ,

    16th International conference on Atomic Layer Deposition , Dublin Ireland p02-102

This work was supported by “Creation of Innovation Centers for Advanced Interdisciplinary Research Areas Program”.

View Supplemental Document (pdf)
AF-MoP-43 Precise Thickness Controllable Al2O3 Thin Film Using Non-Pyrophoric Al Precursors and Atomic Layer Deposition
Donghak Jang, So Jeong Yeo, Ki-yeung Mun (Hansol Chemical); Jung Woo Park (Hansol Chemical, Republic of Korea)

As the minimum feature size of semiconductor shrinks, suppression of leakage current on high-κ dielectric layer has been more important factors. While ZrO2/Al2O3/ZrO2 (ZAZ) dielectric film was effectively suppressed the leakage current, tendency of miniature was required precise control of film thickness. One of common Al precursors, TMA, is pyrophoric and highly reactive, which leads to difficulties in handling and precise control of film thickness. Thus, we developed two non-pyrophoric Al precursors for ALD processes. Al2O3 thin films were deposited on SiO2 by ALD using DMASBO or DMAON and ozone as the oxygen source. The newly proposed ALD system exhibited a typical ALD characteristic, self-limited film growth. In our ALD process, DMASBO showed an apparent ALD temperature window between 240 and 300 oC with a growth rate about 0.6 Å/cycle. The ALD temperature window of DMAON was obtained in the range of 200-300 oC. The growth rate was about 1.0 Å/cycle. The as-deposited Al2O3 films were studied via ellipsometer and X-ray photoelectron spectroscopy (XPS).

AF-MoP-44 Diagnostic ALD Reactor with Multiple Modes of FT-IR Spectroscopy
Brent Sperling, James Maslar, Berc Kalanyan (National Institute of Standards and Technology)
In situ Fourier-transform infrared (FT-IR) spectroscopy frequently is used to characterize ALD reactions. Various configurations are employed for observing dynamics in surface species, thin films, and interfaces. These include powder transmission, Brewster-angle transmission, attenuated total reflection, and reflection absorption. In this poster, we demonstrate a flow reactor that is capable of measurements in each of these modes. This has allowed us to directly compare the merits and drawbacks of the more common methods by using the well-studied TMA/H2O chemistry as the benchmark. Results are reviewed along with suggestions for choosing the most appropriate sampling method.
AF-MoP-45 Leveraging Atomistic Modeling for Insights into Nucleation of Cobalt Precursors on Various Substrates
Andrew Adamczyk, Alan Cooper (Versum Materials); Moo-Sung Kim (Versum Materials Korea, Republic of Korea); Sergei Ivanov (Versum Materials)

Copper encapsulation with thin cobalt films have been shown to greatly improve electromigration performance of Cu interconnects1. Further shrinkage of electronic devices brings new challenges for more selective deposition of Co films and more controlled atomic layer deposition (ALD) of thin Co films. Improvement of precursor nucleation on desired substrates is critical for deposition of thin metallic films. First-principles analysis of ALD mechanisms can significantly decrease experimental costs through initial virtual screening of both potential precursors and surface cleaning requirements. In this study, Density Functional Theory (DFT) at the BLYP/DNP level with periodic boundary conditions was employed to study adsorption and surface reactions of selected cobalt precursors on bulk Co, TaN and SiO2 surfaces, as well as mixed surfaces representing partial Co island growth on TaN and SiO2. The bulk surface structures were collected from X-ray crystallography experimental data and terminated with -OH or ‑NHx functionalities based upon relevant ALD surface pretreatment conditions. The partial Co island growth was represented by the most stable conformation of a Co4 cluster on bulk TaN and SiO2 surfaces. This approach allowed better understanding of cobalt nucleation on various substrates by direct comparison of precursor adsorption and dissociation energies on small cobalt clusters relative to the underlying substrate surface. Figure 1 shows tert-butylacetylene dicobalt hexacarbonyl (CCTBA) adsorption on a Co4 cluster on SiO2 and TaN surfaces. Modeling results suggest more favorable Co nucleation and formation of thin films on SiO2 surfaces rather than TaN surfaces. Precursor adsorption and dissociation energies on a Co4 cluster relative to TaN and SiO2 surfaces will be presented. The study allows for a more systematic evaluation of new ALD Co precursors.

  1. Emiko Nakazawa, et al., “Development of selective Co CVD capping process for reliability improvement of advanced Cu interconnect” Advanced Metallization Conference (AMC) 2008, p.19-23, Materials Research Society (2009).

Figure 1: CCTBA adsorption to a four Co atom cluster on (a) SiO2 and (b) TaN surfaces. Density Functional Theory (DFT) model periodicity not shown for clarity.

View Supplemental Document (pdf)
AF-MoP-46 Crystalline AlN Films on Si(100) with Sharp Interface and Good Uniformity at Low Temperature by Plasma Enhanced ALD
Xinhe Zheng, Sanjie Liu, Yingfeng He, Meiling Li, Jin Wang, Caixia Hou (University of Science and Technology Beijing, China)

Crystalline aluminum nitride (AlN) films are deposited on Si (100) substrates by plasma-enhanced atomic layer deposition (PEALD). Optimal PEALD conditions for AlN deposition are investigated. Under saturated deposition conditions, the clearly-resolved fringes are observed from X-ray reflectivity (XRR) measurements, demonstrating a perfectly smooth interface between the AlN film and Si (100) substrate. It is consistent with high resolution image of no interfacial layer analyzed by transmission electron microscope (TEM). The highly uniform thickness throughout 2 inch-size AlN film with blue covered surface is determined by spectroscopic ellipsometry (SE) measurements. Grazing incident X-ray diffraction (GIXRD) characterization indicates that the AlN films are polycrystalline with wurtzite structure and have a tendency to form preferential crystallization of (002) orientation with increasing of the thickness. A possible mechanism behind the preferred orientation with thickness is discussed.

View Supplemental Document (pdf)
AF-MoP-47 Comparison between PEALD-TiN Films using TiCl4 or TDMAT as Ti-precursor
Hans-Dieter Schnabel (Westsächsische Hochschule Zwickau, Germany); Toni Junghans, Ullrich Reinhold, Christel Reinhold (Westsächsische Hochschule Zwickau)

For some years thin films of Titanium Nitride (TiN) have been interesting for applications in microelectronics due to its semiconductor properties. To produce films in the nanometer-range mostly atomic layer deposition (ALD)-technique is used. For the thermal TiN-ALD high temperature about 450°C are necessary. To deposit TiN at low temperature (<200°C) the plasma enhanced ALD technique has found application. Some groups used Titanium tetrachloride as Ti-precursor (as example see 1,2), other groups (3,4) utilized Tetrakis-(dimethylamino)-titanium (TDMAT).

The poster compares PEALD-TiN films, produced under comparable conditions (180°C, plasma power 300W) with TiCl4 or TDMAT as Ti-precursor and ammonia (NH3) plasma as nitrogen-source.

Properties of the films like thickness, growth rate and density (determined by ellipsometry and XRR measurement), conductivity (by four point probe resistivity measurement and calculated from ellipsometry data) and chemical composition (XPS-measurement) will be compared. The results will be discussed. It becomes apparent that not only differences in expected chemical impurities between the films exist.

1 Nigamananda, S et al.; J.Vac.Sci.Technol: A 31 (1), 2013

2 Burke, M. et al. J.Vac.Sci.Technol: A 32, (2014)

3 Heil, S. B. S. et al. J.Vac.Sci.Technol: A 25, 1375 (2007)

4 Kwon, J. Park. J. Journal of Korean Physical Society. 57 (4) 806, (2010)

AF-MoP-48 High-speed Spectroscopic Ellipsometry for ALD Applications
Gai Chin (ULVAC Inc., Japan)

As a comprehensive manufacturer of metrology tools and deposition tools, ULVAC developed an innovative high-speed spectroscopic ellipsometer for atomic layer deposition applications.

This novel spectroscopic ellipsometry can measure the thickness and optical constants of thin films at a dramatically fast speed. Its data acquisition time is as short as 10 ms. It does not require any active components for polarization-control, such as a rotating compensator and an electro-optical modulator.

It opened great opportunities for new applications of the spectroscopic ellipsometry in which the compactness, the simplicity and the rapid response are extremely important. It can be integrated into the deposition tool and successfully measured thin films in-situ and ex-situ. Obviously, ALD is one of the promising applications for this novel spectroscopic ellipsometry.

This paper describes the principle, system configuration and innovative efforts on developing this compact high-speed spectroscopic ellipsometer for ALD applications.

Some of the ALD applications will be introduced, such as measurement data obtained on Al2O3, HfO2, TiO2, Ta2O5 and TiN thin films. By acquiring thickness data from spectroscopic ellipsometry, the layer by layer growth and material properties of the films can be studied in detail. The growth rate per cycle was determined directly, and an automatic process control can be realized by feedback and feed-forward approach on the ALD tools.

AF-MoP-49 UHV FT-IR Spectroscopy for Atomic Layer Deposition: An Instrumental Contribution
Xia Stammer (Bruker Optics, Ettlingen, Germany); Richard Merk, Sergey Shilov (Bruker Optics)
In atomic layer deposition (ALD) studies, there is a growing demand to adapt a large measurement cell, an ultra-high vacuum (UHV) chamber or particularly an ALD preparation chamber to FT-IR spectrometer optics. The combination of the FT-IR technique and an external preparation chamber enables in-situ monitoring of ALD processing from fundamentals to applications. Bruker provides multiple innovative adaptation solutions of customized chambers to FT-IR spectrometers. View Supplemental Document (pdf)
AF-MoP-50 New Tungsten Precursors at Scale - Properties and ALD Application
Andreas Wilk, Andreas Rivas Nass, Ruben Ramon-Müller, Oliver Briel (Umicore AG & Co. KG, Germany)

Umicore has significant expertise in making new MOCVD and ALD precursors available at high manufacturing volumes. The necessary scale up skills include substantial supply chain involvement (quite a few metals used in electronics applications fall under the Dodd- Frank Act and are considered conflict minerals), solid chemical background, purification competence, trace metal analytical capabilities and significant packaging knowhow. Over the last few years Umicore has proven their abilities and successfully provided a range of Ruthenium and Cobalt precursors at scale, which are currently used in various industrial ALD applications. These precursors have been chosen because of the metal back integration based on our recycling operations. Our latest scale up activities focus on new precursor chemistries for group VI based compounds which have increasing interest in new memory and logic applications.

On our poster we will show a number of new solid and liquid tungsten precursors in various oxidation states and with different ligands, which are currently considered for high manufacturing volumes and we will report on their availability and the relevant physical and analytical properties for new ALD applications.
AF-MoP-51 The Effect of Precursor Ligands and Substitution Chemistry on the Nucleation and Structure of Layered Chalcogenides
He Zhang, Adam Hock (Illinois Institute of Technology)

Layered transition metal dichalcogenides (TMDs) such as WS2 are promising candidates for next-generation electronics. We have developed precursors to highly crystalline WS2 and characterized it using SEM, TEM, and XRD. Understanding the mechanism of nucleation and growth is important to optimize WS2 quality and controlled, horizontal growth of TMDs.

In this talk we discuss the results of our mechanistic studies conducted on the tungsten chalcogenides systems. In addition to organometallic synthesis, ligand exchange reactions, and conventional spectroscopy, we also employed synchrotron X-ray absorption spectroscopy (XAS) to understand the oxidation state changes and the ligand behavior during the nucleation of W precursors. The surface reaction of W precursors and half-reaction with S sources were also observed by XAS. The ligand exchange reactions were followed by extended X-ray absorption fine structure (EXAFS) and metal oxidation state changes followed by X-ray absorption near edge structure (XANES). Finally, the precursor design parameters and ligand substitution patterns elucidated from the WS2 system was expanded to the Wse2 and Wte2 systems, which will be compared and contrasted as time permits.

Session Abstract Book
(533KB, May 5, 2020)
Time Period MoP Sessions | Topic AF Sessions | Time Periods | Topics | ALD2017 Schedule