ALD2017 Session AS-SuA: Area Selective Deposition I: Deactivation (1:30-3:30 pm)/Inherent Selectivity, Activation, Deactivation (4:00-5:30 pm)

Sunday, July 16, 2017 1:30 PM in Room Plaza F

Sunday Afternoon

Session Abstract Book
(342KB, May 5, 2020)
Time Period SuA Sessions | Abstract Timeline | Topic AS Sessions | Time Periods | Topics | ALD2017 Schedule

Start Invited? Item
1:30 PM AS-SuA-1 Area Selective Deposition Using Spatial ALD and Polymer Patterns
Carolyn Ellinger (Eastman Kodak Company)

In our laboratory, we use polymers in combination with inorganic metal oxides deposited by spatial atomic layer deposition (Spatial ALD, SALD) in the fabrication of electronic devices and circuits. This presentation will focus on the interaction between Spatial ALD metal oxide film growth and the properties of polymer thin films. We have previously presented on the process space of spatial ALD and selective area deposition in the context of our “patterned-by-printing” approach to printed electronics. In contrast, this presentation will provide a more general overview of the interaction-space and the resultant patterns and structures (and associated potential applications). Where possible, comparisons will be made to the more common processes of temporal ALD and selective area deposition using self-assembled monolayers (SAMs).

We will begin with a brief overview of SALD and the characteristics that distinguish it from chamber-based temporal ALD. Building on that understanding, we will review the range of potential interactions between polymer thin films and the SALD precursor chemistries (specifically TMA, DEZ, DMAI, and H2O) and process conditions. The conversation will then move to the discussion of useful polymers for selective area deposition – reviewing both specific polymers as well as more generalized polymer properties. A range of patterning techniques and resultant patterns and structures will also be reviewed.

The implementation of SALD used in our laboratory operates at atmospheric pressure conditions, and is capable of coating a wide range of substrates – from rigid wafers and flat panels to flexible substrates that are either singulated or a continuous web. By using polymer deposition techniques (such as printing methods) that are similarly compatible with a broad range of substrates, the patterns and structures reviewed can be translated to nearly any substrate. There is promise for implementing these techniques (or their analogs) in existing manufacturing environments, as well as for using them as a basis for the development of alternative manufacturing lines.

2:00 PM AS-SuA-3 Area-selective ALD of Silicon Oxide using Acetylacetone as Inhibitor in a Three-step Cycle
Adrie Mackus, Alfredo Mameli, Marc Merkx, Bora Karasulu, Wilhelmus Kessels (Eindhoven University of Technology, Netherlands)

Area-selective ALD is attracting renewed attention in the last few years because of its envisioned application in self-aligned fabrication schemes for future nanoelectronics. In this contribution, a new approach for achieving area-selective ALD will be introduced, based on the use of inhibitor molecules in a three-step (ABC) ALD process. This approach is inspired by previous work in which similar inhibitor molecules were used to enhance the doping efficiency of ALD-grown films by blocking adsorption sites.1 Area-selective ALD can be obtained using such an ABC ALD process, in the case where the inhibitor molecule selectively adsorbs on specific materials (in step A), and blocks the precursor adsorption in the subsequent pulse (in step B).

Area-selective ALD of SiO2 has been demonstrated for an ALD process consisting of acetylacetone (Hacac) inhibitor (A), H2Si[N(C2H5)2]2 precursor (B), and O2 plasma (C) pulses. This process results in immediate growth with a growth rate of 0.09 nm/cycle on GeO2 and SiO2, and significant nucleation delays on Al2O3, HfO2, and TiO2, as determined from in-situ spectroscopic ellipsometry measurements. The nucleation behavior of this SiO2 process on GeO2 and Al2O3 was corroborated by X-ray photoelectron spectroscopy (XPS). In addition, the selectivity of the ALD growth was demonstrated on samples with patterned Al2O3 on GeO2. Density functional theory (DFT) simulations suggest that Hacac adsorbs readily on Al2O3, while the adsorption on SiO2 itself is an endothermic reaction with a high activation barrier. In-situ Fourier transform infrared spectroscopy (FTIR) studies confirmed that Hacac chemisorbs on Al2O3 and blocks H2Si[N(C2H5)2]2 precursor adsorption. Conversely, only a small amount of Hacac adsorption was detected on SiO2.

A unique feature of this new approach for area-selective ALD is that it distinguishes between the growth on different metal oxide surfaces (e.g. GeO2/SiO2 versus Al2O3/HfO2/TiO2). Moreover, in contrast to most other area-selective ALD approaches, it is compatible with plasma-assisted or ozone-based ALD. Both of these features open up new application opportunities for area-selective ALD. It is expected that the approach can be extended to other materials, and potentially allows for tuning the selectivity by selecting the inhibitor molecule.

1 A. Yanguas-Gil, J.A. Libera, and J.W. Elam, Chem. Mater. 25, 4849 (2013).

View Supplemental Document (pdf)
2:15 PM AS-SuA-4 Evaluation of Different Nanoimprint Resists for a use in Area-selective Atomic Layer Deposition of Selected Materials
Riina Ritasalo (Picosun Oy, Finland); Martin Messerschmidt (Micro Resist Technology GmbH); Kestutis Grigoras (VTT); Satu Ek (Picosun Oy, Finland); Erik Østreng (Picosun Oy); Mika Prunnila (VTT); Tero Pilvi (Picosun Oy)

Nanopatterns of diverse materials are of much interest and have great relevance as a means of enhancing performance, enabling miniaturization, or as determining components of functional devices or interfaces. Several top-down and bottom-up techniques have been attempted to meet these goals, however with varying degrees of success [1]. In this study we employ a combination of nanoimprint lithography (NIL) and area-selective atomic-layer deposition (ALD); in which NIL is used to produce suitable patterned polymeric templates for area-selective ALD enabling thus a patterned ALD growth.

ALD requires typically the presence of OH-groups on the sample surface in order to get growth initiated. The lack of active surface sites e.g. in the case of hydrophobic polymer layers inhibits the nucleation process. This method would enable growth of patterned ALD wafers and would therefore eliminate one costly mask layer step in the fabrication process.

The main goal of this study is to evaluate and to identify those NIL resists that feature effective ALD growth inhibition properties and to test the concept with NIL-patterned templates and using PICOSUN™ ALD technology. For these investigations in total four NIL resists with different chemical compositions were chosen. The selection of ALD materials included oxides of ZnO, HfO2, ZrO2, Ta2O5, TiO2 and Al2O3, as well as Pt as a metallic candidate. After the ALD step the samples were treated by oxygen plasma to evaluate their performance in terms of the area selectivity. Examples of this empirical approach are presented in Fig 1. In those cases in which a compact ALD coating layer is formed onto to the organic NIL resist, the oxide layer acts as an etch barrier for the oxygen plasma, so that no NIL resist is removed (Fig. 1b,c) while in those cases where no compact oxide layer is deposited, the oxygen plasma will attack and remove the organic NIL resist (Fig. 1a).

The results revealed that the type of applied NIL resist, the type of investigated ALD material and also the applied ALD parameters had all significant effects on the ALD growth inhibition capability. Usually the selectivity was few tens of cycles but at best it reached over 200 cycles. The most promising candidates for a use in area-selective materials by ALD were ZnO, HfO2, ZrO2 and one of the two studied TiO2 and Al2O3 processes. We also found, that in such cases where only a limited area-selectivity of the NIL resist toward the ALD process is observed, nanopatterns can also be effectively fabricated (Fig. 2).

[1] Suresh et al., J. Phys. Chem. C116 (2012) 23729−23734.

Acknowledgements:The research has received funding from the EU FP7 project QUANTIHEAT (604668)

View Supplemental Document (pdf)
2:30 PM AS-SuA-5 Developing a Full Wafer-scale Approach Towards High ALD Selectivity on Copper vs Low-K (and Oxides) using a Single ALD/SAMS Platform
Laurent Lecordier (Ultratech); Silvia Armini, Sebastiaan Herregods (IMEC, Belgium)

Implementation of robust production-scalable methodologies for area-selective deposition (ASD) could have a significant impact on simplifying process flows during device fabrication. However process integration at the wafer scale (i.e., 200-300 mm diam.), including surface pre-treatment, surface de-activation followed by selective ALD has remained elusive. In this work, we present our results towards achieving excellent selectivity of HfNx ALD on copper vs. low-K (and oxides) using C18 octadecanethiol (ODT) as a blocking layer.

SAMS and ALD depositions were performed in a commercial Ultratech CNT Savannah platform equipped with a SAMS kit optimized for delivery of large C18 molecules in gas phase. In-situ spectroscopic ellipsometry (SE) was used to optimize the process performance during the different steps including reduction of the native copper oxide, ODT passivation and selective ALD growth. Results were validated with ex-situ XPS, RBS and SEM characterization.

Several methods were investigated to rapidly reduce the native copper oxide including exposures to ethanol as well as TMA and TDMAHf. ALD-inhibiting films were deposited in gas phase with short (<900s) ODT exposures. Temperature and reduction methods were shown to have a significant impact on ODT growth varying from saturating single monolayer growth to non-self limited growth with thicknesses varying from 30 to 80Å.

In-situ SE measurements during HfNx ALD on ODT-treated copper and low-K showed a high degree of selectivity with HfNx growth delayed for up to 480 ALD cycles on copper, while no growth inhibition was detected on ODT-treated low-K and oxides. Interestingly the non self-limited ODT films were shown to be the best ALD-growth inhibitors.

The authors will discuss the benefits and challenges associated with this rapid gas-phase methodology, emphasizing process time and wafer scalability to 300 mm, dose control challenges associated with delivery of large C18 SAMS molecules, and the significant impact of self-limited vs. non self-limited ODT growth on ASD performances.

2:45 PM AS-SuA-6 Fabrication of Large-area Nanolines by Area-selective Atomic Layer Deposition
John Ekerdt, Zizhuo Zhang (University of Texas at Austin)

Metal lines are common in semiconductor applications, such as interconnect lines and advanced memory word/bit lines. As the device features scale down, fabrication of large-area uniform nanolines becomes harder. Photolithography followed by etching is the usual patterning method for nanolines but the 100-nm pitch has introduced lithographic challenges when applied across large areas. Furthermore, subtractive etching of metal films typically relies on plasma processes that can generate side products detrimental to device performance.

We offer an alternative to patterning large-area nanolines through a sequence of nanoimprint-lithography, area-selective atomic layer deposition (A-SALD) of a metal oxide film and oxide reduction to the metal. The nanoimprint process can create wafer-scale nanoscale patterns with low cost, high throughput and high resolution. A-SALD is a process by which the chemical nature/reactivity of a surface can be manipulated such that ALD nucleation occurs only in desired regions.

In this work, Co is taken as the exemplary metal; however the process works for other transition metals, including Fe, and Ni. Previous efforts to deposit Co metal using bis(N-tert butyl, N’ethylpropionamidnato) cobalt (II) and H2 in ALD have suffered from carbon and nitrogen incorporation into the film and nucleation on polymer- and alkylsilane-coated surfaces. Therefore a route to impurity-free CoO deposition in the defined areas followed by reduction from CoO to Co is selected. The approach is illustrated with a 110-nm pitch nanoline pattern of 40 nm-wide trenches and 120 nm-high cured-imprint polymer lines created by nanoimprinting. After O2/Ar plasma-etching to remove a 10 nm residual layer at the trench bottom, CoO ALD occurs only at the bottom of the trenches. Following deposition at 180 °C and removal of the polymer lines with etching, the CoO can be reduced to form Co metal using a reducing gas at elevated temperature or an oxygen-scavenger layer, such as Al, near ambient temperature. With this A-SALD approach, we are able to pattern large-area uniform and parallel Co metal nanolines with a 110-nm pitch. The nanoline width can be tuned by the O2/Ar treatment of imprinted pattern and the height of nanolines can be adjusted by the number of ALD cycles. X-ray photoelectron spectroscopy is used to determine the composition and oxidation state of nanolines at various steps in the process. Scanning electron microscope and atomic force microscope are used to determine the pitch, width and height of the nanolines. Using I-V measurement and vibrating sample magnetometer, we explore the electrical and magnetic properties of the Co nanolines.

View Supplemental Document (pdf)
3:00 PM AS-SuA-7 Nanoscale Selective Deposition of TiO2 using e-beam Patterned Polymeric Inhibition Layers and TDMAT Precursor
Ali Haider, Mehmet Yilmaz, Petro Deminskyi (Bilkent University, Turkey); Hamit Eren (Delft University of Technology, Netherlands); Necmi Biyikli (Utah State University)

Controlling the lateral dimensions of thin films by patterning is an essential requirement for microelectronics industry towards continuous device miniaturization. Conventionally, thin film patterning is achieved by photolithography which includes several processing steps. During the atomic layer deposition (ALD) process, film nucleation is critically dependent on the surface chemistry of the substrate which makes it possible to achieve area-selective ALD (AS-ALD) by chemically modifying the substrate surface. Local modification of substrate surface opens up possibilities to achieve lateral control over film growth in addition to robust thickness control during ALD process. AS-ALD offers numerous advantages in device fabrication such as reduction of the lithography steps required, elimination of complicated etching processes, and minimization of expensive and poisonous reagent use.

In this work, we report a detailed investigation to select the most compatible polymer blocking layer among poly(methyl methacrylate) (PMMA), polyvinylpyrrolidone (PVP), and inductively coupled plasma (ICP) polymerized fluorocarbon (CFx) for AS-ALD of TiO2. TiO2 was grown at 150 °C using tetrakis(dimethylamido) titanium (TDMAT) and H2O as titanium and oxygen precursors, respectively. PMMA and PVP were deposited using spin coating and C4F8 was grown using inductively coupled plasma (ICP) etch reactor. Contact angle, scanning electron microscope (SEM), spectroscopic ellipsometer, and X-ray photoelectron spectroscopy (XPS) measurements were performed to investigate the effectiveness of polymer layers for AS-ALD process of TiO2. TiO2 was grown with different number of growth cycles (maximum = 1200 cycles) on PMMA, PVP and CFx. PMMA revealed successful growth inhibition upto the maximum inspected growth cycles. PVP was able to block TiO2 growth upto 300 growth cycles, while CFx revealed no inhibition capability. Finally, mm, μm, and nm-scale self-aligned deposition of TiO2 is demonstrated using a PMMA masking layer that has been patterned using e-beam lithography. Additionally, we used the selectively grown TiO2 layers as an etch mask layer to create deep trench structures inside Si. SEM, EDX line scan, EDX elemental mapping, and XPS elemental mapping measurements revealed successful patterning of TiO2 features. AS-ALD of TiO2 demonstrated in the present work offers a novel approach to fabricate close packed nanopatterns for various device architectures without any complex etching or lift-off processes. View Supplemental Document (pdf)
3:15 PM AS-SuA-8 Area-selective Atomic Layer Deposition using Inductively Coupled Plasma Polymerized Fluorocarbon Layer: A Case Study for Metal-Oxides and Metals
Ali Haider, Petro Deminskyi, Talha Khan (Bilkent University, Turkey); Hamit Eren (Delft University of Technology, Netherlands); Necmi Biyikli (Utah State University)

Nanoscale process integration demands novel nano-patterning techniques in compliance with the requirements of next generation devices. Conventionally, top-down subtractive (etch) or additive (deposition/lift-off) processes in conjunction with various lithography techniques is employed to achieve film patterning, which become increasingly challenging due to the ever-shrinking misalignment requirements. To reduce the complexity burden of lithographic alignment in critical fabrication steps, self-aligned processes such as selective deposition and selective etching might provide attractive solutions.

Here, we demonstrate a methodology to achieve area-selective ALD (AS-ALD) by using inductively couple plasma (ICP) grown fluorocarbonpolymer film as growth inhibition layer. The fluorocarbon layer was grown using C4F8 feed gas in a conventional ICP-etch reactor. Our approach has been tested for metal-oxides and metals including ZnO, Al2O3, HfO2, Pt, and Pd. Contact angle, X-ray photoelectron spectroscopy, spectroscopic ellipsometer, and scanning electron microscopy measurements were performed to investigate the blocking ability of plasma polymerized fluorocarbon layers against ALD-grown films. Characterizations carried out revealed that effective blocking on fluorocarbon layer is achieved for ZnO, Pt, and Pd films with different blocking cycle numbers before nucleation initiates. On the other hand, a rather slow nucleation has been observed for HfO2 growth on fluorocarbon coated surfaces, while Al2O3 growth showed almost no delay with a growth rate equal to the ones on conventional substrate surfaces. By exploiting this inhibition feature, thin film patterning has been demonstrated by growing ZnO, Pt, and Pd films on photolithographically patterned fluorocarbon/Si samples. The robust albeit rather simple and straightforward technique presented in this work overcomes various challenges associated with previous methods of AS-ALD and provides an alternative route towards nano-patterning using selective deposition. View Supplemental Document (pdf)
3:30 PM Coffee Break & Exhibit
4:00 PM AS-SuA-11 Adventures and Advances in Selective Deposition
David Thompson (Applied Materials)
Please submit your abstract to Della Miller, della@avs.org, immediately for inclusion in the program.
4:30 PM AS-SuA-13 Direct-write ALD of Transparent Conductive Oxides: Micro- and Nanoscale Patterned In2O3:H and ZnO
Alfredo Mameli, Bora Karasulu, Beatriz Barcones Campo, Marcel Verheijen, Adrie Mackus, Wilhelmus Kessels, Fred Roozeboom (Eindhoven University of Technology, Netherlands)

Area-Selective Atomic Layer Deposition (AS-ALD) can push device manufacturing towards new frontiers. To date, several approaches have been developed for achieving area-selective deposition that can be classified as either area-activation1 or area-deactivation.2 The former is referred to as direct-write ALD when no subtractive steps are employed. In this work, we report on direct-write ALD of two transparent conductive oxides, In2O3:H and ZnO. The method exploits the selective precursor adsorption on OH-terminated versus H-terminated Si surfaces and the resulting differences in nucleation delay.

Previously we have demonstrated that microscale In2O3:H patterns can be fabricated by local activation of the surface using a micro-plasma printer, followed by a second step in which AS-ALD takes place on the activated surface only.3 Similar chemistry is now explored for ZnO AS-ALD, while aiming at nanoscale dimensions. In this case an ultra-thin SiO2 seed layer was deposited to activate the H-terminated surface (i.e., a-Si:H) for ALD growth. 500-by-500 nm² SiO2 seed layers were deposited by electron beam induced deposition (EBID) using tetraethyl orthosilicate (TEOS) and H2O as the precursors. For the ALD of ZnO, diethylzinc (DEZ) and H2O were used as the precursor and the co-reactant, respectively, in a thermal ALD process at substrate temperatures ranging from 100 to 250°C. Selective deposition of ZnO was obtained upon 80 ALD cycles, with good selectivity as demonstrated by in-situ ellipsometry, SEM, cross-sectional TEM and energy dispersive X-ray spectroscopy (EDX) analysis. The influence of the ALD process temperature and EBID patterning parameters on the extent of selectivity have been investigated in detail. First principle density functional theory calculations corroborate the selectivity of the ALD process by revealing a kinetically hindered surface reaction between DEZ and H-terminated Si surfaces.

References

[1] A. J. M. Mackus et al., J. Phys Chem. C, 117, 10788-10798 (2013)

[2] R. Chen et al., Adv. Mater.,18, 1086-1090 (2006)

[3] A. Mameli et al., Chem. Mater Comm., 29, 921-925 (2017)

View Supplemental Document (pdf)
4:45 PM AS-SuA-14 Inherent Substrate-Selective Growth of Cobalt and Nickel Metal Films by Atomic Layer Deposition
Marissa M. Kerrigan, Charles H. Winter (Wayne State University)

Atomic layer deposition (ALD) affords thin films with high conformality and precise thickness control due to its self-limited growth mechanism, and enables the increasing demands for smaller feature sizes in microelectronics devices to be met.1 Area-selective ALD (ASALD) growth is receiving attention in the fabrication of microelectronic devices, since it can eliminate complicated etching steps from the fabrication process and minimizes expensive and toxic reagent use.2 To date, few ASALD processes for metals have been reported, driving a need for new processes to be developed.2 Thin films of cobalt metal grown by ASALD are of considerable interest for use in microelectronics devices, specifically as a metallization liner and cap for copper features in sub-10 nm logic nodes.3 Our laboratory has recently reported an ALD process for the inherent selective deposition of cobalt metal thin films using bis(1,4-di-tert-butyl-1,3-diazabutadienyl)cobalt(II), Co(tBu2DAD)2, and formic acid.4-5 This process affords high-purity, low-resistivity cobalt films, with a growth rate of 0.95 Å/cycle. Importantly, growth occurs preferentially on metal substrates over dielectric substrates. However, the use of formic acid as a co-reactant is undesirable for industrial applications. In this work, we will describe the development of a new ASALD process for high-purity, low-resistivity (~13 µΩcm) cobalt metal films from Co(tBu2DAD)2 and alkyl amines, with a growth rate of 0.98 Å/cycle on metal substrates. No cobalt metal growth is observed on dielectric substrates. We will also report a new ASALD process for high-purity, low-resistivity (~20 µΩcm) nickel metal films from Ni(tBu2DAD)2 and alkylamines, with a GR of 0.60 Å/cycle on metal substrates. No nickel metal growth is observed on dielectric substrates. We will also discuss extending M(tBu2DAD)2 and alkyl amines as a general process for the low temperature ALD growth of other first row transition metal films.

1. Knisley, T. J.; Kalutarage, L. C.; Winter, C. H. Coord. Chem. Rev. 2013,257, 3222-3231.

2. Mackus, A. J. M.; Bol, A. A.; Kessels, W. M. M. Nanoscale 2014,6, 10941-10960.

3. Wislicenus, M.; Liske, R.; Gerlich, L.; Vasilev, B.; Preusse, A. Microelectron. Eng. 2015,137, 11-15.

4. Klesko, J. P.; Kerrigan, M. M.; Winter, C. H. Chem. Mater, 2016,28, 700-703.

5. Kerrigan, M. M.; Klesko, J. P.; Rupich, S. M.; Dezelah, C. L.; Kanjolia, R. K.; Chabal, Y. J.; Winter, C. H. J. Chem. Phys. 2017,146, 052813.

5:00 PM AS-SuA-15 Delayed Nucleation of HfO2 and TiO2 ALD on Carbon via Cyclic Plasma Treatments for Application in Selective-Area Deposition
Eric Stevens (North Carolina State University, USA); Yoann Tomczak, BT Chan, Efrain Altamirano-Sanchez (IMEC, Belgium); Gregory N. Parsons (North Carolina State University, USA); Annelies Delabie (IMEC, Belgium)

Fabrication of future nanoelectronic devices will require innovative and scalable patterning techniques. The use of a sacrificial patterning layer, such as Advanced Patterning Film (APF), would allow metal oxide materials to be deposited in a trench-fill approach, assuming growth inhibition on APF selective to the growth substrate. This work seeks an in-depth understanding of the nucleation and growth behavior of metal oxide ALD on APF, and the effects of surface pre-treatments on the surface functionalization for use in TiO2 and HfO2 patterning.

A high density APF film was deposited on 300mm Si wafers using plasma enhanced CVD of C2H2 at 400oC, yielding an amorphous carbon composed of mostly sp2 and sp3 hybridized carbon. The inherent selectivity of the as-deposited APF films was probed by depositing HfO2 (HfCl4 + H2O at 300oC) and TiO2 (Ti(OCH3)4 + H2O at 250oC) by ALD. These water-based ALD processes are anticipated to proceed via island growth on C-C and C-H surfaces. Interestingly, uninhibited ALD growth of both TiO2 and HfO2 was observed for as-deposited APF substrates, presumably due to ~5% oxygen present at the surface (X-ray Photoelectron Spectroscopy, XPS) and an RMS value of 0.55 nm.

In order to remove oxygen and impede nucleation of metal oxide ALD, an in situ, direct H2 plasma pre-treatment was investigated. XPS depth profiling revealed that for both treated and untreated APF, the oxygen content converged to ~1% after 2-3 nm Ar sputtering, implying a partial oxidation of the APF surface when exposed to air. A plasma pretreatment of 100W for 10s was sufficient to reduce the surface oxygen from 5% to 3%, with ~3 nm of APF etching observed (spectroscopic ellipsometry). The combination of high power and cyclic treatments are believed to etch the partially oxidized top few nanometers and reduce the amount of sites available for re-oxidation, resulting in mostly C-H and C-C surface termination.

The effect of plasma power was screened by subjecting APF substrates to in situ plasma treatments followed by 30 cycles of TiO2 ALD (Figure 1). Low power treatments (e.g., 50W) had minimal effect, whereas using 400W and repeated cycling within the ALD sequence (e.g., (400Wx2 + 15cy)x2) yielded growth below the RBS detection limit on the APF, corresponding to 1.3 nm of TiO2 on receptive silicon nitride. Furthermore, a cyclic H2 plasma treatment of 400Wx2 allowed for up to 60 cycles of TiO2 and HfO2 ALD on APF without film closure (Figures 2, 3). These insights can aid the design of patterned substrates which incorporate area selective ALD of TiO2 and HfO2 on SiN, while delaying film closure on APF.

View Supplemental Document (pdf)
5:15 PM AS-SuA-16 Selective Deposition Process Combining PEALD and ALE
Christophe Vallée (Univ. Grenoble Alpes, CNRS, France); Remy Gassilloud (CEA-Leti, France); Remi Vallat (Univ. Grenoble Alpes, CNRS, France); Cédric Mannequin, Akira Uedono (University of Tsukuba); Vincent Pesce (Univ. Grenoble Alpes, CNRS, France); Nicolas Posseme (CEA-Leti, France); Patrice Gonon, Ahmad Bsiesy (Univ. Grenoble Alpes, CNRS, France)

At advanced nodes, lithography starts to dominate the CMOS technology cost and alternative approaches are needed to continue 2D scaling and extend Moore’s law. Selective deposition process, is a very promising path to enhance patterning capabilities and control its cost. From all the different deposition processes, Atomic Layer Deposition (ALD) is probably the more suitable tool to develop a selective deposition due to its high surface sensitivity. The first part of this paper will be an overview of different existing ALD selective deposition processes:

- Selective ALD using self-assembled monolayers (Selective-Area or Area-Selective ALD)

- Selective ALD using block copolymer

- Selective ALD using temperature

- Selective ALD using spatial ALD (Atomic Layer Printer)

In the second part, we will focus on plasma-based selective ALD process. Cold plasmas used for ALD assistance contain many energetic species such as ions and radicals that can be used to design a selective deposition process. For example, it has been proposed to use an ion implantation assistance to perform topography selective deposition on 3D structures. In our group, we are developing a plasma ALD selective deposition process by adding a plasma etching step. For this process, easy to use and compatible with high throughput manufacturing, three waypoints are needed:

- First a different nucleation delay between two substrates. Here we take advantage of the inherent substrate-dependent growth initiation of ALD;

- Second an etching step is needed with an etch rate controlled at the nm scale in order to remove the deposited material on one substrate;

- A plasma-induced surface modification of the substrate where the material has been removed is needed. Idea here is to add after each etching step an additional nucleation delay before starting the growth again.

This process that has been developed in a 300 mm plasma deposition tool from Kobus will be more detailed and examples of selective deposition processes combining PEALD and etching steps for Ta2O5 and TiO2 will be given. The impact of the process on the chemical and electrical properties of the oxides will also be discussed thanks to XPS, XRR, ellipsometry and positron annihilation spectroscopy as well as C(V) and I(V) characterizations. Enhancing the performance of this process needs to optimize the etching step. For this purpose, we are currently trying to develop specific atomic layer etching processes in the PEALD tool by adding a RF substrate bias waveform developed by Oxford in a FLEXAL tool. This specific experimental set up and first results will also be addressed.

Session Abstract Book
(342KB, May 5, 2020)
Time Period SuA Sessions | Abstract Timeline | Topic AS Sessions | Time Periods | Topics | ALD2017 Schedule