PCSI2018 Session PCSI-WeA: Fabrication and Processing/New Approaches to Epitaxy II/2D Surfaces III/Growth

Wednesday, January 17, 2018 2:00 PM in Room Keauhou II

Wednesday Afternoon

Session Abstract Book
(370KB, May 5, 2020)
Time Period WeA Sessions | Abstract Timeline | Topic PCSI Sessions | Time Periods | Topics | PCSI2018 Schedule

Start Invited? Item
2:00 PM PCSI-WeA-1 Preparation and Characterization of Nanometer-thin Silicone Films for Dielectric Elastomer Transducers
Bert Müller, Bekim Osmani, Tino Töpper (University of Basel, Switzerland)

Nanometer-thin silicone films are essential components of low-voltage dielectric elastomer transducers and will, for example, play a vital role in future artificial muscles [1]. Organic molecular beam deposition (MBD) is a versatile technique to prepare silicone films under well-defined conditions [2,3], but the achievable growth rates of about 1 µm per hour are too low for the fabrication of multi-layer devices. Therefore, we have developed electro-spraying as an alternative deposition method with one or two orders of magnitude faster rates [4,5]. For the two approaches, spectroscopic ellipsometry (SE) has been employed for in situ monitoring the film’s optical properties, the film thickness and the surface morphology during deposition and ultra-violet (UV) light irradiation. The derived quantities were verified by means of atomic force microscopy (AFM).

Subsequent to the silicone deposition and the cross-linking by UV light curing, Au has been deposited using MBD and sputtering. This deposition process was also quantitatively characterized using SE and controlled by means of the plasmonic fingerprints of the metal nanostructures [6]. The ex situ AFM measurements revealed well-known modulations characteristic for strained surface layers [7]. Recent nano-indentation tests have demonstrated that the Au-layers on the silicone near the critical stress regime hardly contribute to the overall elastic modulus and are, therefore, a sound basis for smart electrodes [8]. The nano-mechanical probing of the powered thin-film dielectric elastomer transducers evidenced the importance of the thickness homogeneity for such devices [9]. The function of planar thin-film dielectric elastomer transducers can be precisely determined taking advantage of the cantilever bending approach [10].

In conclusion, spectroscopic ellipsometry and advanced atomic force microscopy with nano-indentation capability enables us to thoroughly characterize the film morphology as well as the optical and local mechanical parameters of silicone and Au/silicone nanostructures.

[1] E. Fattorini, et al. Ann Biomed Eng 44, 1355-1369 (2016)

[2] F. M. Weiss, et al. Mater Design 105, 106 (2016)

[3] T. Töpper, et al. APL Mater 4, 056101 (2016)

[4] F. M. Weiss, et al. Adv Electron Mater 2, 1500476 (2016)

[5] F. Weiss, et al. Langmuir 32, 3276 (2016)

[6] T. Töpper, et al. Adv Electron Mater 3, 1700073 (2017)

[7] B. Osmani, et al. Eur J Nanomed 9, 69 (2017)

[8] B. Osmani, et al. Adv Mater Technol 1700105 (2017)

[9] B. Osmani, et al. Appl Phys Lett 111, 093104 (2017)

[10] B. Osmani, et al. Rev Sci Instrum 87, 053901 (2016)

View Supplemental Document (pdf)
2:05 PM PCSI-WeA-2 Improving Interfacial Adhesion Between Active Material and Solid Electrolytes in Thin Film Supercapacitors
Syed Ahmed, Naga Korivi, Li Jiang, Ben Oni (Tuskegee University)

Solid-state thin film flexible supercapacitors are of interest for emerging applications including portable and wearable electronics. Adhesion between the electrolyte (e.g. ionic conductor in a polymer matrix) and the active electrode material (e.g. carbon nanomaterial) is an important factor influencing the performance of the supercapacitor. Typically, discrete electrode and electrolyte layers are fabricated and held together by pressure clamps or mechanical vises to improve electrode-electrolyte interfacial adhesion [1, 2]. However, this approach complicates the packaging of the devices for practical applications. There is a need for simple and scalable alternatives to improve the quality of electrode and electrolyte interface.

We report a facile method for improving adhesion between electrolyte and active electrode material in solid-state supercapacitors. The method involves dispersing active electrode material in a solvent, and depositing the dispersion on the surface of a solid electrolyte. The solvent causes swelling of the polymer matrix of solid electrolyte, which results in the active material being partially embedded on electrolyte surface. This allows for enhanced adhesion between the electrode and electrolyte. The choice of solvent in the electrode material dispersion is influenced by the polymer matrix used in the solid electrolyte. The method reported here has been used to develop a flexible, solid-state thin film supercapacitor. This device was made by solution casting a dispersion of multi-walled carbon nanotubes (MWCNTs) and isopropyl alcohol (IPA) on one side of a solid electrolyte layer (composite of polyvinyl alcohol and phosphoric acid). The IPA swells the crosslinked PVA polymer matrix, and partially embeds the MWCNTs on the electrolyte surface. The process is repeated to fabricate an electrode layer on the other side of the electrolyte layer, resulting in a supercapacitor with an integrated structure. Consequently, there is no need for mechanical clamps to hold the structure together. Devices fabricated in this manner show capacitance of 1.8 F/g, with areal capacitance of 7 mF/cm2. The energy and power densities are 0.25 Wh/kg and 1.25 kW/kg respectively. The devices are flexible and do not show appreciable degradation in performance over 2000 charge-discharge cycles and when operating at temperatures as high as 60˚C. Electrode-electrolyte interface characterization shows good adhesion between MWCNTs and solid electrolyte.

[1] R. Wang, X. Yan, J. Lang, Z. Zheng, P. Zhang, J. Mater. Chem. A. 2, 12724 (2014).

[2] X. Zhang, Z. Lin, B. Chen, S. Sharma, C. Wong, W. Zhang, Y. Deng, J. Mater. Chem. A. 1, 5835 (2013). View Supplemental Document (pdf)
2:10 PM PCSI-WeA-3 Physical and Chemical Modification of Graphene for High Capacitive Energy Storage
KwangBum Kim (Yonsei University, Republic of Korea)

Graphene, a one-atom-thick, two-dimensional (2D) sp2 carbon structure, has attracted considerable interest as a next-generation electrode material. This can be attributed to a number of interesting properties of graphene, such as its good mechanical/chemical stability, high electrical/thermal conductivity, and a large surface area (over 2630 m2g-1) due to its high surface-to-volume ratio. The combination of these unique physical and chemical properties means that graphene has significant potential to act as either an electrochemically active material in itself or as a conductive carbon template suitable for use in energy storage devices such as supercapacitors and Li-ion batteries.[1-4] At the same time, metal oxide/graphene nanocomposites are also of considerable interest for electrochemical energy storage applications owing to their outstanding properties. These excellent properties of metal oxide/graphene nanocomposites are generated from synergistic combination of graphene with metal oxide on the nanometer scale.[5-9] In this study, we report on the physical and chemical modification of graphene for energy storage applications.

[1] H.C Youn, and K.B Kim, ACS Nano 2014, 8, 2279

[2] H.K Kim, and K.B Kim, Chem. Mater 2014, 26, 4838

[3] S.H Park, and K.B Kim, Sci. Rep., 2014, 4, 6118

[4] S.H Park, and K.B Kim, Chem. Mater., 2015, 27 (2), 457

[5] H.K Kim, Kamali and K.B Kim, Energy Environ. Sci., 9, (2016) 2249

[6] H.K Kim, and K.B Kim, Energy Environ. Sci., 4 (2016) 1270

[7] M N Samani and K B Kim, J. Mater. Chem. A, 5 (2017) 619

[8] D Mhamane and K B Kim, J. Mater. Chem. A, 15 (2016) 5578

[9] H S Kim and K B Kim, Nano Res., 9 (2016) 2696

+ Author for correspondence: kbkim@yonsei.ac.kr

View Supplemental Document (pdf)
2:15 PM PCSI-WeA-4 Interface Analysis and Phase Transition of HfO2 Film on Si Substrate after Thermal Treatment
Hassan Siddique, Dai Rucheng, Wang Zhongping, Ding Zejun (University of Science and Technology of China); Zhang Zengming (University of Science and Technology of China, Hefei, Anhui, China)

Hafnium oxide (HfO2) thin films on Si (100) substrate was prepared by radio frequency sputtering technique. XRD patterns show that the grown films are amorphous and transform into stable monoclinic phase after annealing treatment[1]. The position and width of Raman modes vary nonlinearly with increasing temperature due to the anharmonic interaction of hafnium and oxygen [2]. XPS of the film reveals that no silicide is found after thermal treatment. However, Si2p suggests the occurrence of a SiO2 interface between HfO2 layer and Si substrate[3-4]. Ellipsometry spectroscopy results show that amorphous HfO2 thin films crystallize into a monoclinic phase in the range of 300 ̴ 400 oC. This observation is consistent with that of XRD and Raman spectroscopy at variable temperature. Thickness and refractive index of HfO2 thin film are obtained by fitting ES parmeters. The total thickness of the HfO2 thin film increases by 1.02 nm and refractive index decreases from 2.3 to 1.9 during the formation of the SiO2 interface layer after thermal treatment. In this study, we investigated the temperature effects on the crystal structure, interface growth and optical properties of HfO2 thin film. Furthermore, the correlations between temperature, interface growth, and optical properties have been established.

View Supplemental Document (pdf)
2:20 PM PCSI-WeA-5 Machine Learning for Process Development for Semiconductor and Nanotechnology Product R&D
Mark Mueller (Georgia Institute of Technology)

Machine Learning algorithms can be utilized to form analytic models for semiconductor or complex nanotechnology manufacturing processes. These serve as a means of mapping the process space for a manufacturing tool (such as metal deposition or oxide etch) within a manufacturing sequence. Methods described may also be extended to a “modules” or sequences of steps, such as deposition-lithography-etch-strip, and even to an entire manufacturing process.

To effectively leverage machine learning for data mining and inference formation, it is important to select variables or “attributes” for input, along with an output or predicted quantity for each set of inputs. This presentation describes how to construct an “X” matrix of inputs, along with how to process a “Y” matrix of outputs, where each row represents a wafer and each column represents an input/output variable.

Predictive modeling is compared to current methods of engineering and experiment execution. Typically, development data belongs to the engineer or group who runs an experiment, and the desired result is a report which provides technical progress or which may guide a business decision. Each experiment is designed around a particular focus, and “old” data lives in engineering notebooks or spreadsheets, while the “wisdom” derived lies in the reports and in professional experience of those involved. In contrast, by creating models based on continual collection of data, it is possible to mine this data with predictive models, such as classifier-based (example: in spec/out of spec) or regression-based machine learning algorithms.

Such models allow a thorough mapping of the process space for addressing challenges and future hardware/process development. Construction and continued extension of a model thus replaces the traditional approach of targeted experiments and design of experiments (DOE). Since the collection and analysis of data is a collaborative effort, this use of machine learning becomes a collaborative tool (such as Google Docs) where multiple team members may contribute.

Machine learning models may be utilized for more applications beyond process development. Such models can be used to measure differences between subsystems, for example, evaluating materials from different vendors or evaluating different power supplies. Machine learning can be leveraged to measure equivalence or non-equivalence between production tools within a high volume factory.

View Supplemental Document (pdf)
2:25 PM PCSI-WeA-6 Interlayer Assisted Growth of Polycrystalline Germanium on Silicon at Low Temperatures
Naga Korivi, Nabila Nujhat, Syed Ahmed, Li Jiang (Tuskegee University); Kalyan Das (JBP Materials LLC)

The growth of polycrystalline and heteroepitaxial germanium (Ge) on silicon (Si) is of interest for various electronic applications. Sputter deposition offers a simple and inexpensive process for deposition of homogenous Ge films on large-area substrates [1]. One challenge in growing high quality Ge on Si by sputtering method is the presence of native oxide on Si, formed during the pump down process. Also, the presence of excited and ionized oxygen created by plasma prior to Ge deposition can contribute to native oxide on Si. Our experimental observations indicate a native oxide of 1.5 - 2 nm thickness can form in the sputter chamber at mid-range vacuum (~10-6–10-7 Torr). This corresponds to observations made by others [2]. Some prior reports achieved high-quality heteroepitaxial Ge on Si by desorbing the native oxide from the Si substrate prior to sputtering of Ge [3]. The oxide desorption involves a high temperature in-situ anneal (> 1000 °C) in the sputtering chamber at ultra-high vacuum (≈ 10-10 Torr). Desorption cannot be conveniently done in sputtering systems with a moderate vacuum. Also, desorption temperature is higher than the typical thermal budget for post-CMOS processing. It is relevant to investigate the growth of high-quality Ge films using a moderate pressure system without a high temperature step.

We report here a preliminary study of polycrystalline Ge film growth on Si by DC magnetron sputtering at moderate vacuum (~10-6 Torr) and at substrate temperature as low as 300 °C without a high-temperature in-situ oxide desorption step. Our approach involves the sputtering of a nanometer scale Si interlayer on the Si substrate, prior to Ge deposition. This is designed to disrupt the surface oxide on the Si substrate and ultimately assist the growth of high quality Ge on Si substrate. X-ray diffraction and Raman spectroscopic studies indicate the formation of polycrystalline Ge at 300 °C when Si interlayer is incorporated. Sputtering Ge on Si at 300 °C without a Si interlayer resulted in amorphous Ge. Prior studies have reported amorphous Ge on Si at 320 °C under similar sputtering process conditions, but without any interlayer [2]. Currently, the Ge/Si interfaces in this work are being characterized further by high resolution transmission electron microscopy.

[1] Z. Liu, X. Hao, A. Ho-Baillie, C-Y. Tsao, M.A. Green, Thin Solid Films. 574, 99 (2015).

[2] M. Steglich, C. Patzig, L. Berthold, F. Schrempel, K. Füchsel, T. Höche, E-B. Kley, A. Tünnermann, AIP Advances. 3, 072108 (2013).

[3] T. Tsukamoto, N. Hirose, A. Kasamatsu, T. Mimura, T. Matsui, Y. Suda, Appl. Phys. Lett. 103, 172103 (2013).

View Supplemental Document (pdf)
2:35 PM PCSI-WeA-8 Plasma-enhanced Atomic Layer Deposition of MoS2: From 2-D Monolayers to 3-D Aligned Nanofins
Ageeth Bol (Eindhoven University of Technology, Netherlands)

Plasma-enhanced atomic layer deposition (PE-ALD) might prove to be a key enabler for tackling the current challenge of large-area growth of 2-D materials with wafer level uniformity and digital thickness controllability. In this contribution, we have implemented PE-ALD to synthesize large-area WS2 and MoS2 thin films with tuneable morphologies i.e. in-plane and vertically standing nano-scale architectures on CMOS compatible SiO2/Si substrates. The large scale 2D in-plane morphology has potential applications in nanoelectronics, while the 3D nanofin structures could be ideal for catalysis applications such as water splitting.

The PE-ALD process was characterized over a wide temperature range between 150°C - 450°C by using a combination of a metal organic Mo or W precursor and a H2S + H2 + Ar plasma as the co-reactant. The use of plasma species as reactants allowed for more freedom in processing conditions and for a wider range of material properties compared with the conventional thermally driven ALD. The number of layers in the resulting films could be controlled accurately down to a mono-layer just by tuning the number of ALD cycles. HAADF TEM analysis of the showed that during the initial ALD cycles, MoS2 islands expeditiously extended in the lateral direction and merged to form a film which continued to grow in a layer-by-layer fashion until a certain thickness. Thereafter, an aligned out-of-plane growth mode started to dominate as shown by cross-sectional TEM analysis. The origin of this transition from in-plane to out-of-plane growth mode might be attributed to the enhanced precursor adsorption on high surface energy locations such as grain boundaries, kinks or ledges. Due to crowding effects at these favourable adsorption sites subsequent vertical growth of MoS2 is observed.

We show that the in-plane grown 2D structures can be successfully applied in electronic devices, while the 3D aligned fins a very suitable catalysts for the Hydrogen Evolution Reaction. These results show that plasma enhanced ALD might be instrumental in realizing not only the large area growth of high-quality 2-D materials but can also be applied as a tool to control the morphology of thin films.

View Supplemental Document (pdf)
3:05 PM PCSI-WeA-14 Phase Control of Ga2O3 Films Grown by Atomic Layer Epitaxy
Virginia Wheeler, Neeraj Nepal (U.S. Naval Research Laboratory); Luke Nyakiti (Texas A&M University); David Boris, Scott Walton, David Meyer, Charles Eddy, Jr. (U.S. Naval Research Laboratory)
Ga2O3 has attracted significant interest as an ultra-wide bandgap material for next generation high-power, high-temperature electronic device applications. While there are five polymorphs of Ga2O3, the β-Ga2O3 (monoclinic) is the most stable and most widely studied to-date. By comparison, the α-Ga2O3 phase is less energetically favorable but has a similar bandgap (5.3 eV) and a rhombohedral corundum crystal structure. The ability to attain this metastable state can encourage bandgap engineering between α-Al2O3 and α-In2O3 similar to other III-V alloys. In addition, Schottky barrier diodes made with α-Ga2O3 films have shown improved performance over both β-Ga2O3 and SiC [1], demonstrating the benefit of this polymorph in next generation devices. Here, we use atomic layer epitaxy (ALE) to produce high-quality, heteroepitaxial Ga2O3 films and demonstrate phase selectivity with variations in growth temperature, plasma chemistry and gas pressure.

ALE Ga2O3 films were grown on c-plane sapphire substrates in a Veeco Fiji 200 reactor. All films were produced using trimethylgallium and O2 plasma precursors with pulse/purge times of 0.015s/10s and 10s/10s, respectively. The growth temperature, plasma gas flow, and pressure were varied to assess their impact on resulting film crystallinity and phase composition. Independent of growth conditions, all films were crystalline and highly resistivity with Ga/O ratios between 0.68-0.70 and no indication of C contamination by XPS.

Decreasing chamber pressure an order of magnitude during the plasma step drastically effected the resulting phase, yielding pure β-Ga2O3 at 80 mTorr and pure α-Ga2O3 at 8 mTorr. Additionally, at 350°C and 8 mTorr, the phase could be altered by a varying the O2 plasma flow from 5-100 sccm. For these conditions, optical emission spectroscopy and ion flux measurements were made to correlate the impact of ions and other plasma species on the preferential promotion of different phases. By varying the growth temperature from 300 to 500°C at 8 mTorr, films went from mixed phase, to pure α-Ga2O3 at 350°C, to pure β-Ga2O3 at 500°C. High-quality β-Ga2O3 films were produced at 5sccm O2 that had an RMS roughness of 0.38nm and XRD FWHM of 268 arc-sec for a 30nm film. At 40sccm, high-quality α-Ga2O3 films were obtained with an RMS roughness of 0.15nm and XRD FWHM of 250 arc-sec for a 30nm film. Thus, using ALE high-quality, phase selective films can be achieved to satisfy application requirements.

[1] S. Fujita, M. Oda, K. Kaneko and T. Hitora. JJAP 55, 1202A3 (2016).

+Author for correspondence: chip.eddy@nrl.navy.mil

View Supplemental Document (pdf)
3:10 PM PCSI-WeA-15 Low-temperature Homoepitaxial Growth of Two-dimensional Antimony Superlattices in Silicon
April Jewell, Alexander Carver, Shouleh Nikzad, Michael Hoenk (Jet Propulsion Laboratory)

Silicon-based imaging arrays have a variety of scientific and commercial applications, and are at the heart of NASA’s optical space telescopes and instruments. Operation in space brings the challenge of dealing with radiation effects. For example, protons cause displacement damage within the silicon lattice, resulting in stable defects or “traps” within the device. Traps accumulate over time leading to increased dark current, hot pixels, and charge transfer inefficiency; adversely affecting performance and science return. JPL-invented delta-doped and superlattice-doped ("2D-doped") detectors offer high durability, high stability and high sensitivity to wavelengths spanning the UV, visible, and near IR spectral regions. Importantly, JPL’s 2D-doped detectors offer a vast improvement in stability against damaging radiation over conventional devices.

For device passivation by 2D-doping, dopant concentrations in the range of 1013-1014 cm-2 are typically used, and delta layers are confined to within a few nanometers of the surface. P-type doping with boron from an effusion cell is relatively straightforward. Boron evaporates as an atomic beam, and the small boron atoms incorporate with the silicon crystal lattice. Conversely, n-type doping of silicon using antimony presents many challenges, arising primarily from the tendency of antimony to segregate to the surface. This phenomenon can be avoided by employing low temperature growth to kinetically limit dopant segregation. However, this approach may compromise epitaxial growth (leading to amorphous layers) and often results in poor dopant incorporation and activation.

Despite these challenges, it has been shown that at sufficiently slow silicon deposition rates it is possible to maintain epitaxial growth for finite thicknesses even at low temperatures [1]. We previously reported on the low-temperature growth of antimony delta-doped silicon [2]. We demonstrated ~85% dopant activation, activated dose concentrations as high as 2×1014 cm-2, and sharp dopant profiles (~35 Å FWHM). We also showed that the low temperature antimony delta-doping process is effective for passivating back-illuminated, high-purity, p-channel CCDs [3,4]. In this presentation, we will discuss the extension of our n-type delta doping capabilities to the growth of n-type superlattices. Electrical characterization and preliminary device measurements will be included.

[1] D. Eaglesham, et al., PRL 65, 1227(1990). [2] J. Blacksberg, et al., J. Cryst. Growth. 285, 473(2005). [3] J. Blacksberg, et al., APL 87, 254101(2005). [4] J. Blacksberg, et al., IEEE Trans. Electron Devices. 55, 3402(2008).

Copyright 2017. All rights reserved.

View Supplemental Document (pdf)
3:15 PM PCSI-WeA-16 Unraveling Atomic-level Self-organization at the Plasma-material Interface
Jean Paul Allain, Akshath Shetty, Brandon Holybee, Ming-Kit Cheng, Camilo Jaramillo (University of Illinois at Urbana Champaign)

The intrinsic dynamic interactions at the plasma-material interface and critical role of irradiation-driven mechanisms at the atomic scale during exposure to energetic particles require a priori the use of in-situ surface characterization techniques [1]. Characterization of “active” surfaces during modification at atomic-scale levels is becoming more important as advances in processing modalities are limited by an understanding of the behavior of these surfaces under realistic environmental conditions. Self-organization from exposure to non-equilibrium and thermalized plasmas enable dramatic control of surface morphology, topography, composition, chemistry and structure yielding the ability to tune material properties with an unprecedented level of control. Deciphering self-organization mechanisms of nanoscale morphology (e.g. nanodots, ripples) and composition on a variety of materials including: compound semiconductors, semiconductors, ceramics, polymers and polycrystalline metals via low-energy ion-beam assisted plasma irradiation are critical to manipulate functionality in nanostructured systems.

By operating at ultra-low energies near the damage threshold, irradiation-driven defect engineering can be optimized and surface-driven mechanisms controlled. Tunability of optical, electronic, magnetic and bioactive properties is realized by reaching metastable phases controlled by atomic-scale irradiation-driven mechanisms elucidated by novel in-situ diagnosis coupled to atomistic-level computational tools. In this work we present data in-operando the mechanisms responsible for low-energy (250-1000 eV) Ar, Kr and Ne irradiation of III-V semiconductors and Si nanopatterning. We conduct measurements of surface composition and chemistry with environmental XPS and low-energy ion spectroscopy (LEISS) illustrating the importance of in-operando and in-situ characterization of the surface and sub-surface regions from first ML down to about 10-nm. High-pressure low-energy ion scattering spectroscopy and mass spectrometry are also combined to elucidate mass redistribution and ion-induced desorption mechanisms at play during nanostructuring. Additional examples including ZnO nanoparticles on PDMS and nanopatterning of 70-nm TiO2 thin films on biosensors are presented to illustrate in-situ PMI techniques.

[1] J.P. Allain and A. Shetty, J. Phys. D: Appl. Phys, 50 (2017) 283002, Invited Topical Review

View Supplemental Document (pdf)
3:20 PM Coffee Break & Poster Viewing
4:30 PM PCSI-WeA-31 Excitonic Linewidth Approaching the Homogeneous Limit in MoS2 based Van der Waals Heterostructures
Xavier Marie (Institut National des Sciences Appliquées, LPCNO, France)

Transition metal dichalcogenides such as MoS2 and Wse2 are layered materials that are semiconductors with a direct bandgap when thinned down to one monolayer (ML). The strong light matter interaction and the valley selective optical selection rules make these monolayers an exciting 2D material for fundamental physics and optoelectronics applications. But so far optical transition linewidths even at low temperature are typically as large as a few tens of meV and contain large inhomogeneous contributions [1].

In this work we show that encapsulation of ML MoS2 in hexagonal boron nitride can efficiently suppress the inhomogeneous contribution to the exciton linewidth, as we measure in photoluminescence and reflectivity a FWHM down to 2 meV at T = 4 K [2]. Similar results are obtained with encapsulated MoSe2, Wse2 and WS2 monolayers [3,4]. This indicates that surface protection and substrate flatness are key ingredients for obtaining stable, high quality samples. These encapsulated monolayerss allow accessing the optical and spin properties of these materials with unprecedented detail for neutral and charged excitons (trions).

Among the new possibilities offered by the well-defined optical transitions we evidence the optical selection rules for in-plane propagation of light. These studies yield a direct determination of the bright-dark exciton splitting, for which we measure 40 meV and 55 meV for Wse2 and WS2 monolayer, respectively [5]. The dark exciton fine structure is also revealed [6]. We also uncover new information on spin and valley physics and present the rotation of valley coherence in applied magnetic fields perpendicular to the ML [7].

[1] Cadiz et al, 2D Mater. 3 , 045008 (2016)

[2] Cadiz et al, PRX 7, 021026 (2017)

[3] Manca et al, Nature Com. 8, 14927 (2017)

[4] Courtade et al, PRB 96, 085302 (2017)

[5] Wang et al, PRL 119, 047401 (2017)

[6] Robert et al, ArXiv 1708.05398 (2017)

[7] Wang et al, PRL 117, 187401 (2016)

+ Author for correspondence: marie@insa-toulouse.fr

View Supplemental Document (pdf)
5:00 PM PCSI-WeA-37 Out-of-Plane Electromechanical Response of TMDs
Christopher Brennan, Kalhan Koul, Nanshu Lu, Edward Yu (University of Texas, Austin)

The electromechanical properties of materials are inherently interesting for sensors, actuators, and energy harvesters in which deformation is coupled with electronic or optical properties. 2D materials offer a promising platform for such devices because when atomically thin, they can withstand large strains and strain gradients. Theory [1] and experiments [2, 3] have revealed that transition metal dichalcogides (TMDs) are intrinsically piezoelectric in-plane due to their lack of centrosymmetry in or close to the monolayer limit. Recently, we have shown that MoS2 also exhibits an out-of-plane electromechanical response, potentially a result of the flexoelectric effect [4]. Theory suggests that flexoelectricity may depend on lattice constant, allowing for the opportunity to study the fundamental nature of the effect by looking at similar TMDs with varying lattice constants.

In this work, the out-of-plane electromechanical response of other monolayer TMDs is measured using piezoresponse force microscopy. A conductive atomic force microscope probe is used to apply an AC voltage across the sample and a lock-in amplifier is then used to measure the resultant deflection. Exfoliated WS2 and WSe2 are transferred onto gold for the measurements. Figure 1 shows optical images, topography, and piezoresponse (PR) amplitude and phase images for both WS2 and WSe2. Clear contrast between both TMDs and the underlaying gold in the PR images confirms that out-of-plane electromechanical coupling is present. Preliminary analysis suggests a correlation between the magnitude of the response and the lattice constant as indicated by the stronger contrast in the WS2. A more detailed analysis of the results will be presented as well as their possible flexoelectric origin.

[1] Duerloo, K. A. N., Ong, M. T. & Reed, E. J., J. Phys. Chem. Lett. 3, 2871–2876 (2012).

[2] Wu, W., Wang, L., et al., Nature 514, 470–474 (2014).

[3] Zhu, H., Wang, Y., et al.Nat., Nanotechnol. 10, 151–155 (2014).

[4] Brennan, C. J., Ghosh, R., et al., Nano Lett. 17, 5464–5471 (2017).

+ Author for correspondence: Edward T. Yu: ety@ece.utexas.edu

View Supplemental Document (pdf)
5:05 PM PCSI-WeA-38 Infrared Problem in Cold Atom Adsorption on Graphene
Dennis Clougherty (University of Vermont)

There has been some controversy [1] concerning the phonon-assisted adsorption of atomic hydrogen to suspended graphene at low energies; one numerical calculation predicts an enhancement of the adsorption rate in comparison to the rate on graphite [2], while another work has argued for a suppression of adsorption [3]. Recent theoretical results of the adsorption rate of atomic hydrogen to suspended graphene are presented using four different methods that include contributions from processes with multiphonon emission. We compare the numerical results of the atom self-energy obtained by: (1) the loop expansion of the atom self-energy, (2) the non-crossing approximation (NCA) [4], (3) the independent boson model approximation (IBMA) [5], and (4) a leading-order soft-phonon resummation method (SPR) [6].

The loop expansion reveals an infrared problem, analogous to the infamous infrared problem in QED. The 2-loop contribution to the sticking rate gives a result that tends to diverge for large membranes. The latter three methods remedy this infrared problem for a membrane at zero temperature and give results that are finite in the limit of an infinite membrane. At finite temperature, the divergence problems are exacerbated; only SPR gives a finite adsorption rate in the limit of an infinite membrane. For micromembranes (sizes ranging 100 nm to .01 mm) at zero temperature, the latter three methods give results that are in good agreement with each other and yield sticking rates that are mildly suppressed relative to the lowest-order golden rule rate; however, the SPR sticking rate decreases to zero with increasing membrane size for all temperatures. Thus, approximations to the sticking rate are sensitive to the effects of soft-phonon emission for large membranes, and multiphonon processes suppress the rate.

[1] D. P. Clougherty, Phys. Rev. Lett. 113, 069601 (2014).

[2] B. Lepetit and B. Jackson, Phys. Rev. Lett. 113, 069602 (2014).

[3] D. P. Clougherty, Phys. Rev. B. 90, 245412 (2014).

[4] S. Sengupta and D. P. Clougherty, Phys. Rev. B 96, 035419 (2017).

[5] S. Sengupta, V. N. Kotov and D. P. Clougherty, Phys. Rev. B 93, 235437 (2016).

[6] D. P. Clougherty and S. Sengupta, Phys. Rev. A 95, 052110 (2017).

View Supplemental Document (pdf)
5:10 PM PCSI-WeA-39 Measuring and Modeling Liquid-Filled Nanobubbles Trapped by 2D Materials
Daniel Sanchez, Z. Dai, P. Wang, A. Cantu-Chavez, Christopher Brennan, Edward Yu, R. Huang, Nanshu Lu (The University of Texas at Austin)
Layered systems of van der Waals bonded two-dimensional (2D) materials are widely explored for new physics and devices. In many cases, 2D atomic layers are transferred on a foreign substrate, including other 2D materials. It has been extensively reported that nanometer-scale interfacial bubbles form spontaneously after the transfer. So far, there is no consensus on whether these nanobubbles are filled by liquid, solid, or gas.

We have carried out time-lapse atomic force microscopy scans on nanobubbles trapped between graphene and SiO2. The bubbles deflate slower than ideal-gas-filled 2D membranes over a course of 92 days indicating that liquid is likely trapped under the 2D membrane. We therefore develop a theoretical framework built upon the membrane equations for a thin, elastic membrane trapping incompressible liquid to form interfacial bubbles. Our final analytical solution suggests that adhesion between the 2D material and its substrate is related to the fourth power of the aspect ratio of the blister, which is a constant irrespective of the blister diameter. Our model is applied to estimate the adhesion energy of various 2D material interfaces using the experimentally measured aspect ratios of the bubbles. Good agreement between the estimated adhesion energies of graphene-SiO2 and MoS2-SiO2, and those reported in the literature substantiates our model. In conclusion, liquid-filled nanobubbles trapped by 2D materials can be used as a simple but generic metrology for the adhesion of 2D materials.

View Supplemental Document (pdf)

5:15 PM PCSI-WeA-40 Stress Relaxation Mechanism in the Si-SiO2 System and its Influence on the Interface Properties
Daniel Kropman, Tõnu Laas (Tallinn University, Estonia); Viktor Seeman (Tartu University); Arturs Medvids (Riga University); Janis Kliava (Universityte de Bordeaux)

It is known that internal mechanical stresses (IMS) due to the differences in the thermal expansion coefficients between films and substrates and lattice mismatch appear in the Si-SiO2 system during the process of its formation and that point defects (PD) generation and redistribution could be used to reduce partially the surface stress. However, this process on the atomic scale is till not studied. The goal of the present report is to investigate the stress relaxation mechanism in the Si-SiO2 system using EPR, IR absorption spectroscopy, scanning elektron microscopy (SEM) and samples deflection measurements. PD density and stresses in the Si-SiO2 system were varied by oxidation condition (temperature, time, cooling rate, ambient) and by Si3N4 deposition on SiO2. Different sign of the thermal expansion coefficient of the SiO2 and Si3N4 on Si allow to modifay the IMS at the interface. It has been found that samples deflection decreases or increases simultaneously with EPR signal intensity depending on the oxidation condition (temperature).

At oxidation temperature 11000C the deflection of the samples(h) decreases with the increase of EPR signal intensity (vacancies),while at a oxidation temperature 12000C EPR signal (I) and deflection increase simultaneously. Those allows to suggest that at lower oxidation temperature PD (vacancies) reduce the tensil IMS in Si, while at higher oxidation temperature compressive IMS created PD in SiO2 (E' centers).At an intermediate oxidation temperature tensil stresses in Si and compressive stresses in SiO2 may be equal and compensate each others. It has been find that at oxidation temperature 11300C IMS at the Si-SiO2. interface are lower than at 11000C and 12000C. Lower defect dencity on samples crossection microphotos obtained by SEM and PD dencity diminishing.in samples oxidized at 11300C confirmed thise suggestion.In Fig,2 the EPR signal and IR absorbtion line-width dependence on the oxidation time is shown. It can bee seen ,that EPR signal and IR absorbtion line-width at 1100 cm-1 dependence on the oxidation time (oxide thickness) is nonmonotonous and depended on the cooling rate .In slowly cooled samples the increase of the EPR signal is accompanied by the decrease of Δν but,in fast cooled samples EPR signal and Δν increase simultaneously with increase oxidation time.

Absent of the cooling rate influence on the PD density and Δν dependence on the oxidation time at I(t) and Δν(t) dependence intersection points show, that IMS by an appropriate choice of the SiO2 film thickness dissapear. The obtained results may bee explained by the PD generation kinetic model in the Si-SiO2 system proposed by T.U.Tan and U.Gösele.

View Supplemental Document (pdf)
5:20 PM PCSI-WeA-41 Characterization of Barium Hexaferrite Thick Films Deposited by Aerosol Deposition with an in situ Magnetic Field
Scooter Johnson (U.S. Naval Research Laboratory); Dong-Soo Park (Korean Institute of Materials Science); Adam Hauser, Smriti Ranjit, Ka Law (University of Alabama); Harvey Newman, Sanghoon Shin, Syed Qadri, Edward Gorzkowski (Naval Research Laboratory)

Devices utilizing magnetic materials such as circulators, inductors, and filters are critical components in many of today’s electronics [1]. The need for ferromagnetic materials in these devices poses many difficulties for minimizing device size, weight, and cost. One issue that hampers integration of ferromagnetic materials is the high-melting temperature of the ferrite compared with the low-melting temperature component structure [2]. Furthermore, the need for low-loss and narrow bandwidth operation adds another significant barrier to the advancement of integration of ferromagnetic materials. The high-frequency operation regime and strong uniaxial anisotropy of barium hexaferrite (BaFe12O19, BaM) makes this material particularly interesting to utilize as an oriented film for microwave components. In this study, we characterize BaM films deposited onto sapphire by a room-temperature thick-film growth technique called aerosol deposition. We performed alternating gradient magnetometry depth studies on a series of as-deposited films that show a variation in magnetization with depth. Cross-sectional SEM images indicate laterally uniform film density. Electron dispersive spectroscopy of the interfacial region suggest significant Al2O3 mixing into the film volume. Fe XPS spectra indicate a change in peak weighting as a function of thickness, possibly indicative of modified structure or oxygen incorporation due to Al incorporation. To explore the possibility of magnetically orienting the films we deposited additional films in the presence of a 4 kOe static magnetic field. We report VSM, FMR, and XRD results of these films as-deposited and after sintering from 700C to 1000C. The Figure shows the results of VSM measurements of 5-um-thick films deposited with no applied field compared to films deposited in a 4 kOe applied field. The films deposited in the field presence show an increased saturation magnetization and remanence. Annealing improves the overall properties of the films further increasing the magentic orientation and saturation.

[1] Adams, J., Davis, L., Dionne, G., Schloemann, E., and Stitzer, S., IEEE Transactions on Microwave Theory and Technology, 50 (2002), No. 3, pp.721.

[2] Johnson, S., Newman, H., Glaser, E., Cheng, S.-F., Tadjer, M., Kub, F., and Eddy, C., IEEE Trans. on Magnetics, 51, (2015), No. 5, pp. 2200206.

View Supplemental Document (pdf)

5:25 PM PCSI-WeA-42 Surface Science Studies During Plasma-Assisted Atomic Layer Epitaxial Growth of InN on GaN Substrates
Samantha Rosenberg (U.S. Naval Research Laboratory); Daniel Pennachio (University of California, Santa Barbara); Virginia Anderson, Scooter Johnson, Neeraj Nepal (U.S. Naval Research Laboratory); Christa Wagenbach (Boston University); Max Munger (SUNY Brockport); Alexander Kozen (U.S. Naval Research Laboratory); Zachary Robinson (SUNY Brockport); Sukgeun Choi (University of California, Santa Barbara); Jennifer Hite (U.S. Naval Research Laboratory); Karl Ludwig (Boston University); Christopher Palmstrøm (University of California, Santa Barbara); Charles Eddy, Jr. (U.S. Naval Research Laboratory)

III-N semiconductors such as GaN, AlN, and InN are the basis for creating many compound ternary and quaternary semiconductor materials well suited for applications in several important technological areas, including high current, normally-off power switches. [1-3] While atomic layer deposition (ALD) is a versatile technique and has gained wide use, it does not offer the required level of crystallinity and purity needed for high-performance III-N semiconductor devices. Therefore, we have developed a technique adapted from ALD, called low temperature plasma-assisted atomic layer epitaxy (ALEp). [2]Using surface science techniques we plan to develop a fundamental understanding of the ALEp growth process to further enable the method as a powerful new technique for growth of semiconductor materials.

Here we employ in-situ and in-vacuo surface studies of GaN substrate preparation and InN ALEp growth to advance fundamental understanding of the ALEp process as well as the effect of variation in nitrogen plasma pulse conditions on ALEp of InN. We conduct in-situ grazing incidence small angle x-ray scattering (GISAXS) experiments at the Cornell High Energy Synchrotron Source and The National Synchrotron Light Source-II at Brookhaven National Laboratory, utilizing morphological evolution monitoring to investigate the growth surface during sample preparation and film deposition, as well as using this technique to understand how the nitrogen plasma pulse affects nucleation and growth kinetics of InN on GaN substrate surfaces. GISAXS information is complemented with in-vacuo x-ray photoelectron spectroscopy and reflection high-energy electron diffraction studies conducted at the Palmstrøm Lab at UCSB, where we consider traditional molecular beam gallium flash-off [4] in contrast to our ALEp based gallium flash-off as ways to produce the most suitable GaN surface for our ALEp-based approach. We have been able to determine with GISAXS that ALEp based gallium-flash off experiments run at higher temperatures (500°C) produce a less roughened starting surface than lower temperatures. We have also determined with in-vacuo XPS that traditional molecular beam gallium flash-off greatly reduces the oxygen content at the GaN interface (<40% remaining), while our ALEp based approach does not reduce the oxygen as effectively.

[1] N. Nepal, et al., Appl. Phys. Lett. 103, 082110 (2013)

[2] C. R. Eddy, Jr, et al., J. Vac. Sci. Technol. A 31(5), 058501 (2013)

[3] R. S. Pengelly, et al., IEEE Trans. Microwave Theory Tech. 60, 1764 (2012)

[4] D.F. Storm et al. Journal of Crystal Growth 456 121–132, (2016)

View Supplemental Document (pdf)
Session Abstract Book
(370KB, May 5, 2020)
Time Period WeA Sessions | Abstract Timeline | Topic PCSI Sessions | Time Periods | Topics | PCSI2018 Schedule