ICMCTF2003 Session HP: Symposium H Poster Session

Thursday, May 1, 2003 5:00 PM in Room Town & Country

Thursday Afternoon

Time Period ThP Sessions | Topic H Sessions | Time Periods | Topics | ICMCTF2003 Schedule

HP-1 Present State and Perspective of the Education and Knowledge Transfer in Surface Engineering at German University of Applied Sciences
Hans J. Steffen (Fachhochschule Mannheim, Germany)
In view of new horizons in surface engineering (SE) and the commercialization of innovative products and processes, science and technology transfer is a key factor to cope with the challenging situation of a growing importance of science-based technologies, rising expenses for research and development and especially more intense international industrial competition. Thus, it is of general interest to investigate the national and local knowledge transfer between one of the major sources of science and technology in Germany, the universities of applied sciences (UAS), and the industry in the field of modern SE. For this reason, a survey was carried out to characterize the important contribution of these universities in the knowledge transfer in SE with regard to academic education, further education, research and development and supplementary knowledge transfer routes to industry. The survey results reveal the present and near future importance of modern SE techniques and coating and thin film applications in the German academic education in a ranking. In addition, existing and planned activities in further education referring to modern SE techniques and materials applications are also presented. Moreover, the importance of the corresponding research and development subjects are shown in another ranking scheme along with the importance of various funding sources, existing and wanted major cooperation partners. Finally, the ranked importance of existing and envisaged routes of knowledge transfer in SE as well as the targeted industrial manufacturing sectors demonstrate also the unique character of German UAS and their efficient science and technology transfer.
HP-2 Investigation on the Bonding States of SiO2 Aerogel Film/Metal Interface
S.-B. Jung, S.W. Park, H.H. Park (Yonsei University, South Korea); H.-C. Kim (ETRI, South Korea)
Due to a rapid decrease in physical dimension of today’s device, RC-time-delay of interconnection is now a serious problem to be overcome. As a possible plan, lower resistive metal or lower dielectric constant material has to be introduced. For a low dielectric constant material, SiO2 aerogel can be a promising candidate for an interlayer dielectric (ILD) due to its lowest dielectric constant. However the formation of SiO2 aerogel film on lower metal line could induce a modification of metal surface because the aerogel film is made by sol-gel process. The modification of the metal surface is also dependent on the process variables of the aerogel film formation. In this study, the bonding states of metal surface were investigated before and after the deposition, gellation, and drying of the film. Aluminum, copper, and gold were used as metal substrate. The interfacial bonding states of metals deposited on SiO2 aerogel film were analyzed and the effects of metal surface modification on the electrical properties of dielectric/metal system were also discussed.
HP-3 Application of SiO2 Aerogel Film for Interlayer Dielectric on GaAs with a Barrier of Si3N4
S.W. Park, S.-B. Jung, H.H. Park (Yonsei University, South Korea); H.-C. Kim (ETRI, South Korea)
SiO2 aerogel film has drawn an attention due to its low dielectric constant, high dielectric strength, and good gap-filling capabilities as an interlayer dielectric. But for applying it to an interlayer dielectric on GaAs, the interfacial state should be well controlled due to the instability of GaAs surface. A direct application of SiO2 aerogel film on GaAs induces the formation of interfacial states, especially GaAs-oxides. This results in the degradation of electrical properties. For the control of the interface, in this study, silicon nitride (Si3N4) layer was adapted as a barrier layer. The changes in the interfacial GaAs and Si3N4 barrier during the formation of SiO2 aerogel film by supercritical drying procedure were investigated. RBS (Rutherford backscattering spectrometry), FTIR (Fourier transformed infrared spectroscopy), and XPS (X-ray photoelectron spectroscopy) were employed to characterize the SiO2 aerogel/Si3N4/GaAs system. The electric properties of this system also studied using capacitance-voltage and current-voltage measurements.
HP-4 Effects of Additive Gases on the Selective Etching of Tungsten Films using Inductively Coupled Halogen-based Plasmas
S.D. Park, Y.J. Lee (Sungkyunkwan University, South Korea); S.G. Kim, H.H. Choe, M.P. Hong (Samsung Electronics, South Korea); G.Y. Yeom (Sungkyunkwan University, South Korea)
Tungsten (W) is currently used as an interconnection material for the fabrication of silicon integrated circuits (IC) and it is one of the most popular materials used for interconnection of next generation TFT-LCD devices due to the thermal stability and conductivity. To delineate W, due to the reduction of critical dimension of the next generation TFT-LCD devices, plasma etching is essential. For the W interconnection etching of TFT-LCD devices, the etch selectivity between W and various underlying materials such as amorphous or polycrystalline silicon is very important. Currently, many researches on W etching using both fluorine-based and chlorine-based plasmas for TFT-LCD device applications have been conducted, however, the selectivities between W and underlying materials are not high enough. Therefore, in this study, using inductively coupled halogen-based plasmas, the effects of various additive gases on W etching and its etch selectivities were investigated to obtain higher selectivity between W and underlying materials. The results showed that when N2 and Ar were added to CF4/Cl2 mixture, no noticeable increase of selectivity was observed by increasing the additive gases. However. when O2 was added to the mixture, the selectivity increased with the increase of O2 in the gas mixture possibly due to the formation of volatile WOF4 on W which keeps W etch rate high and the formation of involatile SiO2 on Si which decreases Si etch rate. To understand the etch characteristics of W and Si, the optical emission spectroscopy (OES) and X-ray photoelectron spectroscopy (XPS) have been used and measured as a function of gas combination. Also, a scanning electron microscope (SEM) was used to observe W etch profiles.
HP-5 CVD of Germanium Phosphides
L. Apostolico, K.C. Molloy (University of Bath, United Kingdom); I.P. Parkin, R. Binions (University College London, United Kingdom)

Metal chloride complexes with primary and secondary phosphines have been used as single-source precursors for the deposition of metal phosphide thin films by CVD, as they promote the elimination of HCl e.g. TiCl4.2CyPH2 for deposition of TiP.1 We are interested in the development of precursors for the deposition of germanium phosphides, whose properties remain largely unexplored, and have thus focussed on the synthesis of the corresponding germanium adducts. Thin films of germanium phosphides of varying stiochiometry have been produced from dual-source APCVD, using GeX4 (X = Cl, Br) and CyPH2 as reagents. An excess of phosphine generates phosphorus-rich films (GeP2), whereas an excess of the germanium precursor leads to germanium-rich films (Ge2P). The adduct chemistry is not, however, simple and species such as GeCl4.2CyPH2 have not been isolated. Cy(H)PGeCl3 is formed from CyPH2 and GeCl4, but only when the metal chloride is in excess. Reaction of GeCl4 with either CyPH2 or Ph2PH (1:1) in ether leads to the isolation of the rare primary and secondary phosphonium salts, [CyPH3]+[GeCl3]- or [Ph2PH2]+[GeCl3]-.

1T. S. Lewkebandara, J. W. Proscia, and C. H. Winter, Chem. Mater., 1995, 7, 1053.

HP-6 Fabrication of Water Repellent Polymeric Substrates by Plasma Processes
K. Teshima (Nagoya University & Dai Nippon Printing, Japan); Y. Inoue, H. Sugimura, O. Takai (Nagoya University, Japan)

The control of wettability of solid surfaces has attracted much attention due to its potential applications in various industrial fields. Wettability is governed by the two factors, that is, surface energy and morphology. It is important to provide water-repellency to polymeric substrates as well as other materials. In this paper, we report on the fabrication of polymeric substrates with ultra water-repellency. The water-repellency of the treated polymeric substrates is discussed in terms of their nanotextures and chemical compositions.

Ultra water-repellent polymeric substrates were fabricated by a two-step plasma process. First, polymeric substrates were treated with oxygen plasma in order to provide a proper nanotexture and to terminate the surfaces with proper functional groups. Subsequently, a hydrophobic layer was coated on the nanotextured polymer surfaces by plasma-enhanced CVD using tetramethylsilane or trimethylmethoxysilane as a source in order to reduce their surface energies. A capacitively-coupled RF discharge system was used for both the oxygen plasma treatment and the hydrophobic coating.

Polyethylene terephthalate and polycarbonate substrates modified by using oxygen plasma and TMS-coating were certainly ultra water-repellent, showing the water contact angle was greater than 150°. Optical transmittance of the modified substrates was over 90 % in the visible range.

HP-7 Deposition of Polymer-like Thin Films on Silicon and Glass Substrates using a PECVD Method and Comparison of Their Physical Properties
S.-H. Cho, M.-C. Kim, Y. Kim, J.-H. Boo (Sungkyunkwan University, South Korea)
We have deposited polymer-like thin films on glass and silicon substrates at temperature in the range of 300 to 673 K using thiophene (C4H4S) precursor by plasma enhanced chemical vapor deposition (PECVD) method. A power with radio frequency (13.56 MHz) was applied for the ignition of the plasma, and hydrogen and Ar were used as a bubbler and a carrier gases, respectively. In order to compare physical properties of the as-grown thin films, an effect of the plasma power and deposition temperature on the dielectric constant and thermal stability was mainly studied. XRD and FT-IR studies revealed that the as-grown films at 300 K have highly oriented amorphous polymer structure. XPS revealed that the polymerized thin films have the same stoichiometric ratio (8:1) between C and S, indicating that dimer-like thin films were mainly grown under our experimental condition. From the electrical properties measurements such as I-V and C-V characteristics, we knew that the rel! ative dielectric constants increased from 2.96 to 4.0 when the RF power was increased from 30 W to 200 W. Moreover, the leakage current density was increased with increasing the RF power and deposition temperature. The maximum deposition rate was obtained to be 110 nm/min from the polymerized thin film deposited at 300 K and 100 W. The activation energy for polymer-like thin film deposition calculated from the Arrhenius plot was obtained to be 6.9 kJ/mol, signifying that a diffusion control process will be rate-determining step.
HP-8 Study on Precipitations of Fluorine-doped Silica Glass
J. Wu (National Chiao-Tung University, Taiwan, ROC); Y.L. Wang (Taiwan Semiconductor Manufacturing Co., Ltd,, Taiwan, ROC); K.Y. Lo (National Chia Yi University, Taiwan, ROC); C.P. Liu (National Cheng-Kung University, Taiwan, ROC); Y.L. Wu (National Chi-Nan University, Taiwan, ROC)
In the manufacturing of ULSI devices, Fluorine-doped silica glass(FSG) has been adopted as one of the low-k dielectric materials to reduce RC delay effect and to drive for higher device speed. However, Fluorine precipitation on FSG films were found while exposure to air for a prolonged period of time(>4hrs). Most of the precipitates are less than 1um and clustered at wafer center.(Figure 1). Under SEM view, the precipitation shows hexagonal shape(Figure2), and mainly composed of Si & O (Figure 3). SIMS analysis showed that FSG films without F precipitates showed leveling F% profile(Figure 4), whereas SIMS results for FSG films with precipitations shows increasing gradient with depth( Figure 5). In this study, factors affecting the precipitation of FSG film were investigated. Humidity in environment was found to be one of the essential elements for the onset of precipitation. A mechanism for the formation of FSG precipitation was proposed. Process optimization & control methodologies were also investigated for precipitation prevention to provide a more robust and stable FSG film, hence ensuring the reliability of device performance.
HP-9 Development and Mechanical Property Measurement of Aluminium/Aluminium Oxide and Titanium/Titanium Nitride Multilayer Systems
M.G. Brookes, P.J. Kelly, R. D. Arnell (University of Salford, United Kingdom)
The versatility and repeatability of the closed field unbalanced magnetron sputtering (CFUBMS) system allows a novel method for the growth of ultra-thick (above 50µm) multilayer coatings, which, when removed from the substrate, form free standing foils. The use of this technique for the production of foils has many potential applications, ranging from the production of complex probe tips, to a cost-effective alternative method for producing axisymmetric machined components. In this study therefore, CFUBMS was used to deposit 50µm aluminium/aluminium oxide (Al/Al2O3) and titanium/titanium nitride (Ti/TiN) foils. Deposition parameters were identified that produced 'stress-free' foils in both material systems. Experimental arrays were then constructed to determine the variation in Young's Modulus against the volume fraction of the ceramic component of the foil; substrate bias; and interlayer wavelength. The study confirms that controlling film bombardment energy by changing global system parameters (sputtering pressure, substrate bias and substrate temperature), and also by minimizing interlayer wavelength, structural problems normally associated with ultra-thick PVD films are reduced and can even be eliminated. By measuring the micro-hardness of the multilayer foils when deposited onto glass, a composite Youngs Modulu's can be determined. The study found that using a Al2O3 content of 50% and TiN content of 15%, intact 'stress-free' free standing foils with moduli of 130 GPa and 200 GPa were produced, respectively.
HP-10 Fabrication and Characterization of High Frequency SAW Device with IDT/ZnO/AlN/Si Configuration: Role of AlN Buffer
J.P. Jung, J.B. Lee, J.S. Park (Hanyang University, South Korea)

Great efforts recently have led to the development of surface acoustic wave (SAW) devices that operate at high frequencies, present low insertion loss, and retain superior performance of previous SAW devices. The operation frequency of SAW device is limited mainly due to restrictions in the photolithographic process. ZnO multi-layers coupled with polycrystalline diamond have exhibited phase velocities as large as 10 km/s, which enables to fabricate high-frequency (> 1 GHz) SAW devices without requiring submicron process technologies. In addition, thin film AlN rather than diamond may practically be more advantageous for implementing such high frequency SAW devices, although its phase velocity (~ 6.33 km/s) is lower than that of diamond. This is due to its lower deposition temperature (< 300°C), compatibility with well-developed Si technologies, and controllable material properties. However, there have been few studies on the ZnO/AlN structure. Furthermore, no experimental results are reported in literature that regards the fabrication and characterization of SAW devices based on the ZnO/AlN multilayer.

In this work, high frequency SAW devices with an IDT/ZnO/AlN/Si configuration are fabricated and characterized. Especially, the effect of the AlN buffer layer on the orientation of ZnO films as well as the performance of ZnO/AlN-SAW devices is investigated. AlN films are deposited on Si (100) employing both plasma-assisted MBE and RF reactive sputtering. ZnO films are prepared using RF sputtering at a nominal condition of RF power = 100 W, O2/(Ar+O2) ratio = 10 %, and substrate temperature (Tsub) = 200°C. For deposited AlN and ZnO films, texture coefficient (TC) for (002)-orientation, crystallite size, and full width at half maximum (FWHM) for (002)-peak are estimated from XRD spectra, of which all results are characterized in terms of deposition conditions used for preparing AlN films. The ZnO film grown on AlN buffer layer which is prepared at RF power = 350 W, N2/Ar ratio = 10/20, and Tsub= 250°C exhibits the highest TC value (~ 100 %). Surface morphology and RMS surface roughness of deposited ZnO films are also measured using field emission SEM and AFM. ZnO/AlN-SAW devices are fabricated using a lift-off method and their frequency response characteristics are examined in terms of deposition conditions for the AlN buffer. Fabricated ZnO/AlN-SAW devices with 1µmm width-IDTs exhibit phase velocity = 4.8 ~ 5.1 km/s (corresponding operation frequency = 1.0 ~ 1.2 GHz), insertion loss < 17 dB, and side-lobe rejection level > 35 dB.

HP-11 Effects of Bottom Electrodes on the Orientation of AlN Films and the Frequency Responses of Resonators in AlN-Based FBARs
J.B. Lee, M.H. Lee, J.P. Jung, J.S. Park (Hanyang University, South Korea)

A film bulk acoustic resonator (FBAR) has become one of the most promising components for the realization of microwave monolithic integrated circuits (MMICs) in high performance frequency control devices of a few GHz-band wireless communication systems. This is mainly due to its small size and high device performance. The most critical factor that determines the characteristics of the FBAR is the material property of piezoelectric thin films, which is directly related to the preferred orientation of deposited piezoelectric films. Among the recently-introduced piezoelectric thin films for FBAR devices, AlN is considered to have strong potential mainly due to its high bulk velocity (~ 10 km/s) and compatibility with standard Si technologies. In addition, for fabrication of FBAR devices, selection of bottom electrode metals is very essential for achieving a high-quality AlN film since the difference in lattice parameters and crystalline structures between the AlN film and the bottom electrode may significantly affect the growth behavior of grown AlN film. However, there have been no systematic studies regarding the influence of bottom electrode metals on properties of piezoelectric films and characteristics of FBARs.

The purpose of this research is to elucidate the effect of the bottom electrode in AlN-based FBARs on the crystal orientation of AlN films as well as the frequency response characteristic of FBAR devices. AlN films are deposited using RF sputtering on various metal substrates (bottom electrodes) including Al, Cu, Ti, and Mo. Crucial deposition parameters and their ranges used to prepare AlN film are as follows; RF power = 150 ~ 450 W, substrate temperature (Tsub) = RT ~ 550°C, and Ar/N2ratio = 5/25 ~ 25/5. The crystal orientation, texture coefficient (TC) for (002)-orientation, crystallite size, surface morphologies, and RMS roughness of deposited AlN films are measured using XRD, field-emission SEM, and AFM techniques. These are characterized in terms of bottom electrodes. FBAR devices with Al/AlN/metal(Al, Cu, Ti, Mo) configuration are also fabricated and their frequency responses (S11) are compared. It is found that the AlN film deposited on Mo substrate exhibits the highest TC value (~ 90 %) for (002)-orientation, the largest crystallite size (~ 42 nm), and the smallest surface roughness (~ 85 nm). The FBAR device using Mo electrode is also found to have a superior performance (return low ~ 15 dB at 3.05 GHz). It is concluded that the positive role of Mo-electrode for high-quality AlN and high peformance FBAR may be attributed to the smaller lattice mismatch between AlN film and Mo electrode.

HP-12 Nanometric Chromium Nitride/Chromium Carbide Multilayers by r.f. Magnetron Sputtering
J. Romero, E. Martínez, J. Esteve, A. Lousa (Universitat de Barcelona, Spain)
Chromium nitride/ chromium carbide (CrN/CrC) multilayers, with periods between 10 and 100 nm, were deposited on M2 steel and silicon substrates using a single cathode r.f. magnetron sputtering system. The used target was pure chromium (99.99%) and the nitride/carbide multilayer structure was obtained by alternatively changing the sputtering gas composition between an Ar/N2 reactive mixture and another mixture with Ar and CH4. The deposition parameters were arranged to obtain optimized properties for both materials in individual coatings and then implemented in multilayered film deposition. X-ray diffraction allowed us a detailed characterization of the different phases obtained in both CrN and CrC individual coatings and CrN/CrC multilayers. Multilayers periodic structure was characterized by secondary ion mass spectrometry and electron microscopy. The mechanical properties such as hardness, residual stress and film adhesion in multilayers were analyzed by means of nanoindentation and microscracth techniques and their relations to period thickness are presented. These properties of the CrN/CrC multilayers are compared with those of CrN and CrC individual coatings.
HP-13 The Investigation of Structure and Magnetic Properties of Fe/FeN Mutilayered Thin Film Prepared by the Filtered Cathodic Arc Technique
M.-S. Leu, L.-G. Chao, S.-J. Huang, C.-J. Chang (Industrial Technology Research Institute, Taiwan, ROC)
Properties of Fe/FeN magnetic mutilayer thin films prepared by filtered cathodic arc deposition technique are reported. Emphasis is placed on the effect of the thickness and nitrogen content of the Fe and FeN mutilayer on the structure and magnetic properties. Significant improvement of saturation magnetization property was observed by the vibrating-specimen magnetometer measurement as the thickness of the Fe/FeN interlayer was increased from 30 nm to 100 nm (the maximum value is about 2050 emu/cm3). Besides, the main structure in the thin film will be transformed from ±-Fe phase to Fe-N and ±-Fe combination phases by the X-ray diffraction examination. However, after annealing in nitrogenous atmosphere, due to the reaction between ±-Fe and nitrogen, the saturation magnetization property of the specimen was degraded associated with the increasing of Fe-N phase.
HP-14 Characterisation of Chromium Nitride Film Produced of by PVD
C.W. Chu (Metal Industries Research & Development Centre, Taiwan, ROC); S.M. Chiu, W.G. Lo (Metal Treatment Technology, Taiwan, ROC)
Interaction occurring between an Ar-N2 surface are studies by measuretion optical emission spectroscopy ,transient effect observed for the first 10 min shed light on the partial cleaning .chromium nitride coating were deposition by D.C sputtering on Ra=0.93(CrN , TiN , CrN+Ag) ,the characterization of the film was done by contact angle (800.700.1100), ball on disk(0.5.0.5.<0.2),moreover ,the structure of the films with small crystalline preferentially grows in show o columnar structure ,the influence of the temperature on surface cleaning is determined room temperature , N2 is grafted on iron topmost surface. Very like by means of N-C=o groups .the films which partially protects iron against corrosion.
HP-15 Electromechanical Characteristics of Micromachined PZT Cantilever
G.Y. Kang, H.H. Park (Yonsei University, South Korea); T.S. Kim (Korea Institute of Science and Technology, South Korea)
Recently, self-actuating cantilevers have been studied using piezoelectric films for high speed atomic force microscopy (AFM), scanning probe lithography, and high density data storage. Lead zirconate-titanate (PZT), boron-doped diamond, and oxidized zinc (ZnO) are the key materials for actuating the micro-electromechanical system. In this study, various sized PZT(Zr/Ti=52/48) cantilevers were fabricated to investigate the possible application of this piezoelectric film to micromechanical systems. The structure of micromachined PZT cantilever was Pt/PZT/Pt/Ta/SiO2/SiNx/Si. 500 nm-thick PZT films were prepared by sol-gel and hybrid method, i.e., sol-gel deposition of 100 nm thick PZT after sputter-deposited 400 nm thick PZT successively. PZT film was patterned by wet etching. Backside silicon was selectively removed after anisotropic etching in 20% TMAH solution. Electromechanical characteristics of micromachined PZT cantilever were measured by laser doppler vibrometer system as a function of cantilever length. The primary resonance frequencies and deflections of PZT cantilever with 200µm-width were proportional to length-2 and length2, respectively. The primary resonance frequencies of cantilever with 400, 600, 800, 1000, and 1200µm-length PZT cantilever prepared by sol-gel method were 14.5, 6.7, 2.2, 1.9, and 0.5 kHz, respectively. Those electromechanical properties were also measured in Flourinert (3M company), a liquid with same density as water. In the liquid, due to the liquid loading and viscous damping effect, the primary resonance frequencies were shifted to lower frequency, 4 times lower than those in air and quality factor was reduced. Furthermore, the electromechanical properties of sol-gel prepared PZT films were also compared with those observed in hybrid method prepared PZT films.
HP-16 Improvement of Surface Roughness and Optical Scattering Loss for a MEMS Micro-Mirror Switch
M.-W. Lee, S.-B. Jo, K.-C. Lee, C.-W. Kim, S.-G. Park, S.-G. Lee, L.-H. Lee, B.-H. O (Inha University, South Korea)
It is very important for MEMS optical switch to have a surface optically smooth and efficient enough to get negligible optical scattering loss by developing processes of plasma etch and deposition. We report on the optimization of surface roughness and optical property in etched Si-surface and gold-sputtered Si-surface by trying various etch chemistries of (Cl2, CF4, SF6) and gold sputtering conditions. Optically efficient Si-surface not only with low roughness of less than 15nm but also with vertical etch profile was obtained at optimized etch processes with high etch rate of 800 nm/min. This result is applied to the fabrication of a simple and efficient MEMS micro-mirror switch.
HP-17 Characteristics of Rapid Thermal Annealed Lithium Nickel Cobalt Oxide Cathode Films for an All Solid-State Thin Film Microbatteries
H.-K. Kim, T.-Y. Seong (Kwangju Institute of Science and Technology, South Korea); Y.-S. Yoon (Korea Institute of Science and Technology, South Korea)
We report on the characteristics of rapid thermal annealed LiNi1-xCoxO2 films and amorphous LiPON electrolyte film for all solid-state thin film microbattery. Both the LiNi1-xCoxO2 films and LiPON films were grown by reactive rf magnetron sputtering using a synthesized LiNi0.5Co0.5O2 and Li3O4 targets, respectively. Both x-ray diffraction (XRD) and transmission electron microscopy (TEM) analysis show that the 700°C rapid thermal annealed samples have a (101) and (104) textured orientation due to reduce the volume strain energy. In addition, scanning electron microscopy (SEM), atomic force microscopy (AFM), x-ray photoelectron spectroscopy (XPS), and Auger electron spectroscopy (AES) analysis shows that the surface layer on as-deposited LiNi1-xCoxO2 film was completely removed by rapid thermal annealing process. In addition, the characteristics of the LiPON electrolyte film were investigated by TEM, RBS, and electrochemical analysis. All solid-state thin film microbattery (TFB), structure of Li/LiPON/LiNi1-xCoxO2/Pt/MgO/Si, exhibited stable cyclibility and high specific discharge capacity of 60.2 mAh/cm2-microm. It was thought that the combined features of the LiNiO2 and LiCoO2 cathodes caused fairly high capacity and stable cyclibility of the LiNi1-xCoxO2 cathode rapid-thermal-annealed at 700°C.
HP-18 Crystallization Behaviors and Optical Constants of Superlattice-like Phase-change Optical Storage Media
M.J. Kang, S.Y. Choi (Yonsei University, South Korea)

Many studies have focused on high-speed recording in phase-change optical discs to increase the data transfer rate. In order to increase the data transfer rate of phase-change optical recording discs, a number of phase change materials with fast crystallization speed were developed and a complicated disc structure with additional interface layers to enhance the crystallization speed of the recording media was employed. Recently, it has been reported that a superlattice-like phase-change recording layer can be adopted within the phase change layer instead of the conventional single layer structure to accelerate the crystallization speed and enhance the structural stability of the phase-change layer. However, there has been no detailed report on the dependence of crystallization behaviors and optical constants of phase-change recording media on the superlattice-like structure.

In this work, the relationships between superlattice-like structure and crystallization behaviors of phase-change optical media were discussed. A superlattice-like phase-change recording layer of GeTe/Sb2Te3 was deposited using electron beam evaporator. The transmission electron microscopy (TEM) and glancing angle x-ray diffraction (GAXRD) were used to characterize the crystallization behaviors. Optical constants were also investigated by using spectroscopic ellipsometer and UV-VIS spectrometer.

HP-19 Interface Control of Pt/GaAs Schottky Contact with Surface Sulfidation and Thermal Hydrogenation
J.K Yang, H.H. Park (Yonsei University, South Korea); H.-C. Kim (ETRI, South Korea); S. Im (Yonsei University, South Korea); J.-L. Lee (Pohang University, South Korea)
Two-step technique using sulfidation and subsequent thermal hydrogenation was reported to minimize defective interfacial bonds of Pt/GaAs Schottky contact, ultimately improving the electrical properties. Sulfur-passivation of GaAs surface prior to Pt metallization was very effective to remove interfacial compounds such as Ga and As oxides, and resulted in the reduction of reverse leakage current and the enhancement of barrier height. However, a defective interfacial bond of excess As inevitably appeared during Schottky metallization using Pt. With nondestructive interface analysis using X-ray photoelectron spectroscopy, it was revealed that after thermal hydrogenation of Pt/GaAs Schottky contact at relatively low temperature, the Pt/GaAs interface was turned to be free of defective bond, i.e., metallization-induced excess As. This two-step treatment was applied to the gate line in the MESFET and the improvement of device parameter was achieved.
HP-20 Investigation of the Electrical Properties and Reliability of SiC
T.C. Chang (National Sun Yat-Sen University, Taiwan, ROC); C.W. Chen (National Chiao Tung University, Taiwan, ROC); P.T. Liu (National Nano Device Laboratory, Taiwan, ROC); T.M. Tsai (National Chiao Tung University, Taiwan, ROC); H.C. Huang (National Nano Device Laboratory, Taiwan, ROC); T.Y. Tseng (National Chiao Tung University, Taiwan, ROC)
To reduce the RC delay of interconnects, the low-k dielectrics and Cu interconnects must be adopted. SiC is a candidate for barrier dielectric and owns lower dielectric constant (k~5) relative to SiN (k~7). In this study, we investigate the leaky behavior and barrier characteristics of a-SiC (k:4~5) doped with different nitrogen concentration. The leaky behavior of a-SiC is Poole-Frenkel in high field. This is similar to SiN. We perform the bias-temperature stress (BTS) on a-SiC to test the barrier ability against copper penetration. We find that the a-SiC doped with nitrogen could sustain the stress of electrical field up to 4MV/cm at 150°C. In addition, a-SiC films contained higher nitrogen exhibit better barrier property against copper penetration. The copper ions would penetrate through the film after strictly BTS condition. On the side, we take the a-SiC films with Al gates as control samples. The SIMS spectra show the distributions of copper and aluminum after different BTS conditions. As the a-SiC is breakdown during BTS, the copper penetrates through the a-SiC film.
HP-21 Self-Assembly of Highly Ordered Two and Three-dimensional Monodispersed Colloidal Silica and Polystyrene Latex in the Patterned Templates
H.Y.K. Ko, J.H. Moon (Yonsei Unversity, South Korea)

Nano-sized monodispersed colloidal silica and polystyrene Latex with controlled sizes (100nm, 200nm, 300nm) have been prepared by Stüber process and emulsion polymerization. Shape and monodispersity of synthesized colloidal particles were observed by Scanning electron microscopy(SEM) and laser light scattering particle analyzer. These monodisperse colloidal particles readily self-assemble into robust two and three-dimensionally ordered crystalline colloidal arrays (CCAs) and CCAs is obtained by controlling the related experimental parameters for the assembly, including concentration of colloidal particles, pH of solution, volume ratio of solvent (water and ethanol).

We have determined a simple method for the fabrication of macroporous structures in the patterned templates using two different sites of monodispersed colloids. That is, utilizing capaillary forces and convective flux, ultrafine particles are directly used to assembly themselves in the voids of patterned template and macroporous structures can be produced after removing the particles. Scanning electron microscopy(SEM) of these materials illustrates hexagonally ordered packing of monodispersed spherical colloids in the patterned templates.

HP-22 Dependence of Etch Selectivity of SiO2 Etching on the Axial Magnetic Field in ICP
H.-Y. Song, J.-G. Lee, Y.-H. Choi, B.-H. O, S.-G. Park (Inha university, South Korea); J.-S. Oh, J.-W. Kim (Hynix Semiconductor Inc., South Korea)
Effects of time-varying axial magnetic field added to an ICP have been studied in terms of plasma characteristics and etching performances. The magnetic field in the axial direction is generated by a pair of Helmholtz coil, and the time-varying field by flowing time-varying current to the coil. In this work, the etch selectivity of SiO2 over photoresist is investigated in C4F8/Ar plasma. The frequency of the axial magnetic field is found to affect the etch selectivity. We have measured the CFx+ (x=1-3) ion density and its energy distribution function using QMA with energy analyzer. Densities of F atom and CFx (x=1-3) radicals are also measured by Apparent Mass Spectrometer as a function of the magnetization frequency. XPS analysis of fluorocarbon polymer formed on oxide surface during etching shows that fluorine content in the polymer is varies with the magnetization frequency. It is found that thicker fluorocarbon polymer films are deposited at higher CF2 radical intensity in the plasma, and that faster oxide etching is observed at higher CFx+ ions in the plasma. A model that describes the role of fluorine in fluorocarbon polymers in oxide etching is proposed, and the conditions where higher etch selectivity of oxide to photoresist are described. Key Words : Enhanced-ICP, C4F8/Ar plasma, Etch selectivity, SiO2 etch.
HP-23 Mechanism of Circular Defects in HDP STI Deposition
Y.L. Wang (National Chiao-Tung University); Y.L. Wang (Taiwan Semiconductor Manufacturing Co., Ltd,, Taiwan, ROC); C.P. Liu (National Cheng Kung University,); C.P. Liu (National Chiayi University, Taiwan, ROC)
The local oxidation of silicon (LOCOS) isolation technique has been used for many years for integrated circuits. The lateral encroach, also known as bird's beak, is the key disadvantage of this technique, which makes this technique unsuitable for deep submicrometer devices. In ultra large-scale integration (ULSI), there will be more request to reduce the size of isolation region; thus, shallow trench isolation (STI) process has been developed. Recently, high-density plasma (HDP) based CVD oxide has been extensively used as a trench filling material because of its good characteristics such as good gap-fill, low thermal budget, low HF-etching rate, and high throughput. However, there are still many issues in the STI process, such as planarization improvement , corner shape's effects and process-related defects elimination This study explored the formation of circular defects in high-density plasma (HDP) STI deposition. Circular defects were caused by the burst flow of silane reactive gas. The distributions of the defects were coincident with the flow field of silane. FTIR and SIMS data exhibited that the burst flow of silane formed a silicon rich oxide (SRO) film. This SRO film existed between the STI and liner oxide. The circular defects were easily found using optical microscopy (OM) for STI with SRO film. SEM and TEM photographs presented that these defects were bubbles and concavities. The bubble occurred with the delamination happened between SRO film and liner oxide, correlating with the high tensile stress produced by the SRO film. Higher deposition temperature in STI oxide yielded more bubbles. The concavity was induced by the variation of STI deposition rate on SRO film and liner oxide.
HP-24 Dielectrical and Electrical Properties of Epitaxial Growth (Pb, Sr)TiO3 Thin Films on Al2O3 (100) Substrate for High Frequency Tunable Devices
C.I. Kim, K.T. Kim (Chungang University, South Korea)

Electrical tunable dielectric devices rely on the variation of a ferroelectric materials dielectric constant with application of an electric field. The requirements of Ferroelectric materials are low dielectric constant, high tunability, low losses and low leakage current. We investigated on the structural, electrical properties of (Pb0.5√sub 0.5)TiO3 thin films on the Al2O3 substrate prepared using Sol-Gel method. The dielectric measurements were conducted in the Coplanar Waveguide (CPW) configuration. From the XRD analysis, the peak in the XRD pattern of PST thin films on the Al2 O3 substrate was shown epitaxial growth. The low loss (~0.001) and high tunability (~60%) were obtained for (Pb0.5√sub 0.5)TiO3 thin films on the Al2 O3 substrate measured at 1 GHz.

1This work was supported by Korea Research Foundation Grant (KRF-2001-042-E00042).

HP-25 Characterization of Europium-doped Pb(Zr0.3, Ti0.7)O3 Thin Films Prepared by using a Metalorganic Decomposition
Y.H. Son, C.I. Kim, K.T. Kim (Chungang University, South Korea); C.I. Lee (Ansan College of Technology, South Korea); T.H. Kim (Yeojoo Institute Technology, South Korea)
PZT film has a large polarization and a low crystallization temperature. However, there are some problems in PZT film, such as fatigue with a Pt electrode and poor retention. In this study, Eu-doped lead zirconium titanate (PEZT) thin films on the Pt/Ti/SiO2 /Si substrates prepared by a metalorganic decomposition (MOD) method. According to the ionic radius, Eu3+ tends to occupy the A-site of PZT perovskite structure and acts as a donor that generates Pb vacancies. Eu doping was found to alter significantly the dielectric and ferroelectric properties. We investigated the effect on the structural and electrical properties of the films as function of Eu concentrations. The structure and morphology of the films were analyzed by x-ray diffraction (XRD), atomic force microscope (AFM), and Scanning electron micrograph (SEM). The depth profile of the interfaces between the films and electrode materials were examined using Auger Electron Spectroscope (AES). SEM and AFM showed uniform surface of the films. The dielectric constant and dielectric loss of Eu-doped PZT thin film decreased as a Eu concentration increased. The PEZT thin films showed large remanent polarization and fatigue-free characteristic.
HP-26 Low Pressure Deposition of LixZnyO Thin Films by Means of RF Plasma Jet System
Z. Hubicka, P. Ptacek, M. Cada (Institute of Physics, Academy of Sciences ASCR, Czech Republic); V. Valvoda, H. Sichova (Charles University, Czech Republic); Z. Malkova, L. Jastrabik, L. Soukup (Institute of Physics, Academy of Sciences ASCR, Czech Republic)
Low pressure RF hollow cathode plasma jet system was used for deposition of LixZnyO thin films. Hollow cathode discharge was excited by either CW or pulse modulated RF power. High-density plasma jet was created in the continuously pumped reactor. Reactive sputtering of composed hollow cathode was used for that purpose. Ar and O2 were used as working gasses. One part of the nozzle was made of sintered Li2ZnO2 and the second part of pure metallic Zinc. Stoichiometry was controlled by changing of the relative lengths of the first and the second part of the hollow cathodes. Chemical composition of deposited films was measured by electron microprobe and atomic absorption spectroscopy. It was found that the atomic ratio of Li and Zn contained in the films was possible to change from 0.04 up to 0.4, as it was dependent on the deposition conditions. Structure and orientation of crystallites was measured by XRD diffraction in Bragg Brentano and Parallel beam geometry. Hexagonal structure was identified on deposited samples. Orientation of crystallites was strongly dependent on Li content. Basically for lower content of Li, the plane (001) was parallel with the substrate surface ('c' axis perpendicular to the substrate surface). For higher content of lithium in the films, this orientation was becoming weaker. Furthermore, the films were studied by means of spectroscopic ellipsometry and optical constants were determined in this way. Photoluminescence and dielectric properties were studied on the deposited samples as well. Parameters of the plasma jet and presence of growth precursors were investigated by 'in situ' emission spectroscopy.
HP-27 Microstructure Study of Ultrasonic Dipped Cadmium Sulfide and D.C. Sputtered Indium Tin Oxide Bilayered Films
H.-N. Cui, V. Teixeira (University of Minho, Portugal); L.-J. Meng (Instituto Superior de Engenharia do Porto, Portugal); H.-J. Zhang (Chang Chun Institute of Applied Chemistry, PR China)
Cadmium sulfide (CdS) and a bilayer CdS/ITO (indium tin oxide, In2O3 doped SnO2) films are n-type materials. They act as a window material which is promising for producing high efficiency photovoltaic (PV) solar cells. Recently this bilayer film has also shown the potential application in electrochromic (EC) devices, integrated PV powered EC window (or combined PV and EC devices), display screens and other functional films. A bilayer CdS/ITO films were obtained. The dipped CdS was grown by an ultrasonic colloid deposition (USCD) method. The ultrafine particle (UFP) CdS film made using USCD presents a wider transmission range, higher transmittance, and merit of low cost, easy processing and higher growing rate. Amorphous ITO films were obtained using DC-magnetron sputtering without heating the substrate. The ITO films exhibited high conductivity and optical transmittance for the visible region and much lower transmission in NIR range. The results demonstrate that the CdS film on ITO has much lower values of sheet resistivity (670 /sq.) than a single CdS layer (10-8 /sq.) in same thickness condition. The CdS/ITO bilayer was investigated by means of XPS, GIXD (grazing incidence X-ray diffraction) and XRD. The characterization of the bilayer film from CdS surface to CdS/ITO interface and then ITO bottom was studied by GIXD for different incidence angles (±= 0.20 0- 5.00 0). The diffraction lines of GIXD reveal the existence of an interfacial embedding between the CdS and ITO, even if the films are not annealed. We discuss a model for the bilayer film. If both CdS and ITO layers are thin enough, the effect of interface is strong and the final behaviour is similar to the doped material. SEM and AFM show that homogeneous CdS films with a bar-shaped (~100 nm x 300 nm) ultrafine particles and ITO films present a nanosized structure (~30 nm grain size). The mechanism of the ultrasonic dipped deposition of CdS and sputtering of ITO films are discussed.
HP-28 Temperature Effect on Low Dielectric Constant Diffusion-Barrier (SiCN) Film
Y.L. Wang (Taiwan Semiconductor Manufacturing Co., Ltd,, Taiwan, ROC); Y.L. Cheng (National Chiao-Tung University, Taiwan, ROC); K.Y. Lo (National Chia Yi University, Taiwan, ROC); S.A. Wu (Taiwan Semiconductor Manufacturing Co., Ltd,, Taiwan, ROC); C.P. Liu (National Cheng-Kung University, Taiwan, ROC)
Instead of conventional silicon nitride, amorphous silicon carbonitride (SiCN) film is used as the dielectric barrier and passivating layer for Cu dual damascene process because of its low dielectric constant. A process has been develop to deposit silicon carbonitride (SiCN) thin film by Plasma-Enhance Chemical Vapor Deposition (PECVD) to use as a barrier layer in copper metallization. The film is deposited using Trimethysilane (3MS) as a precursor in Hellion (He)-ammonia (NH3) radio-frequency plasma. In this work, we evaluated the characteristics of the dielectric at different deposition temperature including deposition rate, component, refractive index, stress , leakage current and dielectric constant for different deposition temperature. The result show that higher deposition temperature enhanced Si-N and pure Si-C bonding, but inhibited Si-H and Si-CH3 bonds formation of the dielectric barrier film. This effect decreased the deposition rate, increased the refractive index, raised the dielectric constant, provided a more compressive and steady stress behavior, and also gave a better thermal stability. However, electrical reliability and Cu barrier capability became worse at high deposition temperature.
HP-29 Photochemical Metal-organic Deposition of SrBi2Ta2O9 Ferroelectric Thin Film
S. Yoon, H.H. Park (Yonsei University, South Korea); R.H. Hill (Simon Fraser University, Canada)
SrBi2Ta2O9 (SBT) has been intensively investigated as a promising ferroelectric material for nonvolatile random access memory due to its fatigue-free property and low voltage operation, compared to those of Pb(Zr,Ti)O3 (PZT). The ferroelectric memory devices consist of ferroelectric thin film and silicon semiconductor accumulated circuits. For applying to nonvolatile memory, SBT thin films are normally deposited by spin-coating using chemical solution and crystallized through high temperature anneal. However, this high temperature anneal and successive dry etching for micro-patterning usually accompany some demerits that silicon substrate is often seriously damaged during this high temperature anneal and SBT films could be damaged during dry etching. Thus, it should be better if processing temperature could be lowered and dry etching could be replaced. In this study, we focused on the lowering of phase formation temperature of SBT films deposited by photochemical metal-organic deposition (PMOD) from the micro-homogeneity of deposition characteristic and photoresist-free self-patterning of SBT films. The ferroelectric properties, crystallinity, and microstructure of SBT films were investigated. Additionally, the effect of UV irradiation on the properties of SBT films was discussed.
HP-30 Integration and Characterization of Pt/Nd2Ti2O7/ZrO2/Si Structure for Ferroelectric Field Effect Devices
W.S. Kim, C.K. Lee, H.H. Park (Yonsei University, South Korea); H.T. Jeon (Hanyang University, South Korea)
Recently, much interest is focused on the ferroelectric non-volatile memory, but there are two different ideas about the structure of that memory. One consist of one FE storage capacitor and one pass-gate transistor, and the other has only one transistor in which the ferroelectric layer embedded, such as a metal-ferroelectric-semiconductor field effect transistor. At the present time, the former is actively investigated, but from a theoretical view, the latter is more desirable for non-volatile memory because this type of memory could make possible non-destructive read out and high-density memory. However, conventional MFS structure has many problems at the interface between ferroelectric thin films and silicon. In order to avoid this interface reaction, metal-ferroelectric-insulator-semiconductor field effect transistor (MFISFET) structure has been extensively investigated, therefore the interface problems are solved to a certain extend. In designing the MFISFET structure, the point to which special attention should be paid is the difference of permittivity between ferroelectric and buffer layer. If the dielectric constant of buffer layer differs greatly from that of ferroelectric film, most of applied voltage drop occurs across the buffer layer, and then electric field is not sufficiently applied to ferroelectric layer for polarization reversal. In this work, from a point of view described above, we chose the Nd2Ti2O7/ZrO2/Si structure, and the crystalline property and surface states as annealing temperature were investigated. The electrical properties were measured using C-V and I-V technique. NTO were reported as promising ferroelectric materials for MFIS structure because of its high coercive field, good thermal stability, and low dielectric constant as for ferroelectric materials, and thin films of ZrO2 have been also studied for the application to extrinsic insulating dielectrics in silicon-based MFIS structure.
HP-32 Microstructure and Electric Properties of La-Doped PZT Thin Films by Photochemical Deposition
H.H. (Mr,) Park, S. Yoon, H.H. (Prof.) Park (Yonsei University, South Korea); R.H. Hill (Simon Fraser University, Canada)

Photochemical deposition is one of deposition technique of thin films, easily applicable to the deposition of oxides including some metals such as (Pb, La)(Zr, Ti) O3. The photochemical deposition, unlike sol-gel procedure, is not based on chemical reaction including hydrolysis and condensation, but forms metallic state through the removal of organic ligands by exposure to UV. And this metal usually turns to oxide through the oxidation reaction with atmospheric oxygen during the exposure to UV. This technique is suitable for the control of stoichiometry and furthermore lithographically producing a pattern without the requirement of separated etching procedure

Homogeneous lanthanum doped lead zirconate titanate(PLZT) thin films were prepared by photochemical deposition technique. PLZT films were investigated to reduce the amount of oxygen vacancies in the films by doping a suitable amount of La ions and by optimizing the annealing conditions. Fourier transform infra-red (FT-IR) was used to monitor the photochemical reaction after exposure to UV of spin-coated PLZT films. Scanning electron microscopy was used to observe the image of self-patterned PLZT films. X-ray diffraction and ellipsometry were used to provide the information about the crystalline structure and thickness of the films after anneal. The effects of La doping on the microstructure and the ferroelectric properties of PLZT films were explained. Also, the electrical properties of the films were discussed with their physical-chemical properties.

HP-33 Fluorine-Doped Low-k SiOC:H Composite Films Prepared by Plasma Enhanced Chemical Vapor Deposition
S.-K. JangJeana, (National Cheng Kung University,, Taiwan, ROC); Y.L. Wang (Taiwan Semiconductor Manufacturing Co., Ltd,, Taiwan, ROC); L. Chuan-Pu, H. Weng-Sing (National Cheng-Kung University,, Taiwan, ROC)
Fluorine-doped organosilicate glass (Si:O:C:F:H) films and OSG films were deposited for comparison at various temperatures (250~400) by plasma enhanced chemical vapor deposition method using various fluorine-related precursors reacts with trimethelysilane (3MS) and Oxygen. The films were investigated by examining the bonding configuration, index of refraction, surface composition, hardness, the leakage current density and breakdown field strength. The absorption spectra of Fourier transform infrared spectroscopy shows that the frequency of SiO stretching vibration mode in Si:O:C:F:H films shifted to higher wave number (blueshift) with the increase of fluorine incorporation, while that at higher temperature shifted to lower wave number (redshift). The dielectric constant value of Si:O:C:F:H film is in the range between 2.8 and 3.2, and that is a bit lower than OSG films (k = 3.0~3.3). The higher hardness and breakdown voltage strength were achieved due to fluorine introduced. Comparing these results to those obtained OSG films shows that the mechanical strength of Si:O:C:F:H films is improving by introducing of fluorine incorporation, while maintaining or lower a dielectric constant.
HP-34 Preparation and Characterization of Eu-Substituted Bi4Ti3O12 Thin Films by Metalorganic Decomposition Method
C.I. Kim, D.H. Kang, I.W. Shim, K.T. Kim (Chungang University, South Korea)
The preparation and ferroelectric properties of Eu-substituted Bi4Ti3O12 (BET) thin films were investigated. Ferroelectric Bi4-xEuxTi3O12 thin films were fabricated by spin-coating on the Pt/Ti/SiO2/Si substrates. FT-IR sectroscopy and thermogravimetric/differential thermal analyses (TG/DTA) were carried out to understand the pyrolyzation and crystallization processes of the film. The structure and morphology of the films were analyzed using X-ray diffraction (XRD) and scanning electron microscopy(SEM). The BET thin films showed a large remanent polarization (2Pr) of 60.99 µc/cm2 at an applied voltage of 10V and fatigue-free behavior up to 5x109 switching cycles at a 50KHz.
HP-35 Moisture Resistance and Heat Testing of Fluorine-incorporation Siloxane-based Low-dielectric-constant Material
Y.L. Cheng (National Chiao-Tung University, Taiwan, ROC); Y.L. Wang (Taiwan Semiconductor Manufacturing Co., Ltd, ROC); K.Y. Lo (National Chia Yi University, Taiwan, ROC); C.P. Liu (National Cheng-Kung University, Taiwan, ROC); S.A. Wu (Taiwan Semiconductor Manufacturing Co., Ltd,, Taiwan, ROC)
Resistance of siloxane-based, low dielectric constant( low-k) dielectrics against heat and moisture stress is clarified. The organo-silica-glass (OSG) films and Fluorine-doped OSG films are shown to be the most reliable: the k value are stable even after a heating test at 650°C and a pressure cooler test for 168hr. This stability is high enough to ensure the low-k property throughout fabricating multilevel interconnects and long-term reliability after the fabrication. This is shown to be due to the stability of Si-CH3 bonds and Si-F bonds incorporated in the OSG films. The low k property still remains even after the reliability tests, showing that the low k interlayer dielectric structure is sufficiently resistant to heat and moisture stresses.
HP-36 Etching Characteristics and Mechanism of (Pb,Sr)TiO3 Thin Films in Inductively Coupled Plasma
C.I. Kim, G.H. Kim, D.P. Kim, K.T. Kim (Chungang University, South Korea)

There is an increased research activity to search appropriate thin film materials for dynamic random access memories and microwave dielectric applications. Among the various dielectric films, the BST thin film was noticed as the most promising material due to its high dielectric constant and paraelectricity at normal operating temperature. Although BST possesses a satisfactorily high dielectric constant, it was known that a post heat treatment at a high temperature was essential to obtain good electrical property. However, the heat treatment at high temperature can cause deleterious effects on an electrode, barrier metal, and contact plug. Strontium titanate (ST) is one of the few titanates, which is cubic at room temperature. But, the dielectric constant is lower than BST. The addition of lead into strontium titanate makes its dielectric constant higher and the temperature of crystallization lower. Therefore, PST thin film can be a promising material due to its high dielectric constant and paraelectricity at normal operating temperature. However, there is no report on the characteristics and mechanism of PST thin films during etching process. In this study, Inductively coupled plasma etching system was used for etching PST because of its high plasma density, low process pressure and easy control bias power. The etching characteristics of PST thin films were investigated in terms of etch rates and selectivity as a function of gas mixing ratio, rf power, dc bias voltage and chamber pressure. The Cl2/Ar plasmas were characterized by optical emission spectroscopy (OES) and Langmuir probe analysis. The chemical states on the etched surface were investigated with x-ray photoelectron spectroscopy (XPS) and secondary ion mass spectrometry (SIMS). Scanning electron microscopy (SEM) was used to investigate the etching profile.

1This work was supported by Korea Research Foundation Grant (KRF-2001-042-E00042) .

HP-37 Preparation of Ferroelectric PZT Films by Using Metallic Lead and Ceramic PZT Cosputtering
W.L. Chang, Y.F. Lan, J.L. He (Feng-Chia University, Taiwan, ROC)
Ferroelectric thin films such as lead-zirconate-titanate (Pb(Zrx,Ti1-x)O3, PZT) films have been extensively investigated for their tremendous application in communication and memory device. Attention has been paid in the preparation by using sputter deposition techniques where different source (target) materials were considered. Among those combinations, the PZT+PbO dual-target system enables the individual control of lead flux while raises the difficulty of PbO target material preparation during sintering due to its high vapor pressure. Substitution of metallic Pb eases the target material fabrication as well as providing the capability of dc-power compability. In this study, the PZT thin films were deposited on Si/SiO2/Ti/Pt substrate by using a dual-target system, in which metallic lead (99.99%) and ceramic PZT(PbZr0.52Ti0.48O3) target material were powered by rf magnetron. The structure characterization of the deposited films was carried out by X-ray diffractometry (XRD) and field emission scanning electron microscopy (FESEM). The chemical composition was determined by Auger electron spectrometry (AES). Ferroelectric polarizability was measured using the Radiant Technology RT66A tester. The piezoelectric properties as well as surface morphology were explored by using atomic force microscopy (AFM). Sputtering conditions are investigated giving their relationship with the microstructure and properties of the deposits. The success in obtaining high remnant polarization and lower corrective voltage of the deposit is opened at processing window of substrate temperature at 580 and power delivery of PZT/PbO at 80/30W. Structural change as a function of deposition condition is correlated to its ferroelectric properties.
Time Period ThP Sessions | Topic H Sessions | Time Periods | Topics | ICMCTF2003 Schedule