AVS 68 Session AP+PS+TF-TuA: Thermal Atomic Layer Etching

Tuesday, November 8, 2022 2:20 PM in Room 317

Tuesday Afternoon

Session Abstract Book
(292KB, Nov 18, 2022)
Time Period TuA Sessions | Abstract Timeline | Topic AP Sessions | Time Periods | Topics | AVS 68 Schedule

Start Invited? Item
2:20 PM AP+PS+TF-TuA-1 Thermal Atomic Layer Etching: The Right Etch Technology at the Right Time
Andreas Fischer, Aaron Routzahn, Ryan Gasvoda, Jim Sims, Thorsten Lill (Lam Research Corporation)
Thermal atomic layer etching is rapidly becoming an important complementary processing technology in manufacturing of advanced logic and memory semiconductor devices.Critically, stacked chip architectures such as 3D NAND, 3D DRAM or Gate-all-around devices require conformal isotropic etching to remove material such as HfO2 or SiGe in hard-to-reach locations with aspect ratios that can be greater than 50:1.To achieve repeatable device performance throughout a 3D stack, the removal rate (etch per cycle) of the etched material during an etch process need to be controlled such that the overall etch is the same from top to bottom of the device stack.In this work we studied HfO2-based 3D NAND test structures with an aspect ratio of more than 50:1. Etching was performed via ligand exchange with dimethyl-aluminum chloride (DMAC) after surfaces had been fluorinated with hydrogen fluoride (HF).Fluorination studies on blanket films revealed that fluorination efficiency is improving for pressures in the Torr range compared to previous millitorr experiments and that only temperatures above 250°C increased the fluorine concentration in HfO2 significantly whereas fluorine levels were unchanged between 150°C and 250°C.Regarding etch rates in these 3D NAND structures, we found that the horizontal etch rate of HfO2 as a function of depth (depth loading) depended on the DMAC dosing but was nearly independent on the HF dose.The HF dose and the process pressure were key to increasing the overall etch amount per cycle (EPC).With the highest tested HF dose of 192 Torr*s and a total process pressure of 8 Torr, we achieved a uniform etch amount of 0.6 nm per cycle.In addition, we investigated the impact of film quality and film coating conformality in these structures on the depth loading in the succeeding ALE processes.Type of precursor, precursor dosing, deposition rate as well as substrate temperature played a fundamental role in controlling the film quality and conformality of the deposited HfO2 layers inside high aspect ratio holes.
3:00 PM AP+PS+TF-TuA-3 Atomic Layer Etching of Titanium Nitride with Surface Modification by Cl Radicals and Rapid Thermal Annealing
Nobuya Miyoshi, Nicholas McDowell (Hitachi High Technologies America Inc.); Hiroyuki Kobayashi (Hitachi Global Storage Technologies Inc)

Thermal atomic layer etching (ALE) is a promising method for isotropic etching with atomic level precision and high conformality over three-dimensional structures. Due to these characteristics, thermal ALE will be a crucial component of etching the next generation of semiconductor devices. In this study, a thermal ALE process for titanium nitride (TiN) films was developed using surface modification with a Cl2/Ar downstream plasma followed by infrared (IR) annealing of the films. The oxygen-free Cl2-based plasma was adopted to allow a highly selective etch with respect to various materials. Evaluations showed spontaneous etching of TiN during radical exposure can be suppressed at a surface temperature of −10 ºC. Evaluations demonstrated that this process is self-limiting with respect to both radical exposure and IR annealing. With repeated steps of self-limiting radical exposure and IR annealing, TiN was etched at 2.0 nm/cycle, while no thickness change was observed for poly-Si, SiO2, Si3N4, W, and HfO2. The selectivity of amorphous carbon was shown to be higher than 4. X-ray photoelectron spectroscopy analysis showed that the N in the TiN surface layer is spontaneously removed by Cl radical exposure as NClx and NOxCly (Due to residual O in the chamber) species and the film is left with a modified surface layer of TiClx. The remaining TiClx modified layer can then be desorbed by IR annealing, returning the surface layer to its original condition (pristine TiN).

3:20 PM AP+PS+TF-TuA-4 Thermal Atomic Layer Etching (ALE) of Metal Oxides by Chlorination and Ligand Addition using SO2Cl2 and Tetramethylethylenediamine (TMEDA)
Jonathan Partridge, Jessica Murdzek, Steven George (University of Colorado Boulder)

The thermal ALE of many metal oxides, such as Al2O3, HfO2 and ZrO2, can be accomplished using the fluorination and ligand-exchange mechanism.For other metal oxides, this reaction pathway is not viable because of difficulty finding appropriate ligand-exchange precursors.Fortunately, other etching mechanisms are possible based on ligand addition instead of ligand exchange.During ligand addition, the modified surface layer is volatilized by adding a ligand to the surface metal complex.This study will illustrate the ability of chlorination and ligand addition to etch a variety of first-row transition metal oxides.

Thermal ALE of Fe2O3, CoO, NiO and ZnO was demonstrated with sequential exposures of SO2Cl2 for chlorination and tetramethylethylenediamine (TMEDA) for ligand addition at 250°C.Using CoO as an example, SO2Cl2 chlorinates CoO to form CoCl2 on the CoO surface.TMEDA then undergoes ligand addition with CoCl2 surface species to form a volatile CoCl2(TMEDA) etch product. X-ray reflectivity experiments measured CoO etch rates of 2-3 Å/cycle at 175 - 250°C.The volatile etch products were also identified using a new reactor equipped with a quadrupole mass spectrometer (QMS).The CoCl2(TMEDA) etch product was observed by QMS analysis during TMEDA exposures.CoO etching also involves oxygen loss.Thermochemical calculations indicate that the oxygen could be lost by the formation of SO3 or O2.These oxygen products have not been confirmed by QMS analysis.SO3 is unstable and difficult to observe by QMS.The cracking pattern of SO2Cl2 interferes with O2 detection.

For the other metal oxides, QMS analysis observed FeCl2(TMEDA)+ ion signals for Fe2O3 etching during the TMEDA exposures. NiCl2(TMEDA)+ ion signals were also monitored for NiO etching during the TMEDA exposures. In addition, ZnCl(TMEDA)+ ion signals were measured for ZnO etching during the TMEDA exposures.Chlorination and ligand-addition was also explored for the thermal ALE of V2O5, CuO, Cr2O3 and MnO2. Unfortunately, V2O5 and CuO spontaneously etched during the SO2Cl2 exposure to form VOCl3 and CuCl3, respectively. Etch products containing TMEDA were not observed for Cr2O3 and MnO2. This work illustrates that sequential exposures of SO2Cl2 and TMEDA will be useful for the thermal ALE of a variety of metal oxides that have stable metal chlorides and can not be etched using the fluorination and ligand-exchange mechanism.

View Supplemental Document (pdf)
3:40 PM BREAK
4:20 PM AP+PS+TF-TuA-7 Thermal Atomic Layer Etch Processes in Semiconductor Manufacturing Challenges and Opportunities
Gert Leusink (TEL Technology Center, America, LLC)

Driven by ever-increasing complexity in materials and structures, process technology requirements in semiconductor device manufacturing have evolved to control at the atomic level. In recent years, atomic level processing has been introduced in deposition, etching, and (wet) cleaning. Chemically selective and/or area-selective processes can be an efficient way to meet future manufacturing requirements1,2. Furthermore, damage free low temperature thermal processes will be needed to enable precise control in 3D structures with small CDs and high aspect ratios3.

In this talk, we will review some of the fundamentals and challenges in thermal Atomic Layer Process technologies for advanced semiconductor device manufacturing. We will discuss recent trends in thermal Atomic Layer Etching (ALE) and show examples how these processes may be used to address some of the critical challenges in cleaning, etching and patterning applications in the manufacturing of 3D devices.

  1. Perspective: New process technologies required for future devices and scaling, R. Clark [https://aip.scitation.org/author/Clark%2C+R]et al, APL Materials 6, (2018)
  2. Overview of atomic layer etching in the semiconductor industry, Kanarik, Ket al, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, 33(2), 020802
  3. 3-D Self-aligned Stacked NMOS-on-PMOS Nanoribbon Transistors for Continued Moore’s Law Scaling, C. -Y. Huang et al, IEDM 2020
5:00 PM AP+PS+TF-TuA-9 Thermal Atomic Layer Etching (ALE) of InGaP:Processing Chemistry and Removal of Surface Defects
Ross Edel, Taewook Nam, Steven George (University of Colorado Boulder)

Thermal ALE of crystalline indium gallium phosphide (InGaP) has been developed to extend thermal ALE to phosphide semiconductor materials. These phosphide semiconductor materials are widely used as red light-emitting diode (LED) devices. Native oxides and surface defects on LED devices can lead to electron/hole pair recombination that reduces their light output. In particular, the light output can be significantly reduced on smaller devices having high surface-to-volume ratios. The surface defects are believed to be formed by energetic ion species from previous plasma processing steps. Thermal ALE may provide a method to remove the native oxides and surface defects without causing additional damage.

This work was conducted using a new apparatus that combines a hot wall ALD/ALE reactor with in vacuo Auger spectroscopy. This apparatus allows the InGaP sample to be characterized throughout the thermal ALE process without exposure to atmosphere. The thermal InGaP ALE was performed using static, sequential hydrogen fluoride (HF) and dimethylaluminum chloride (DMAC) exposures. The HF/DMAC exposures were able to achieve InGaP etch rates of 0.5–1.0 Å/cycle at temperatures from 300–330 °C, respectively. The etch rates were measured for thin InGaP films on GaAs substrates using ex situ spectroscopic ellipsometry.

Etching with only HF/DMAC exposures could produce a chemically distinct top layer. This top layer was believed to be caused by the conversion of the InGaP native oxide to Al2O3 or AlPO4 by DMAC. However, this conversion could be avoided by first removing the InGaP native oxide using sequential HF and trimethylaluminum (TMA) exposures. The HF/TMA exposures were able to achieve native oxide etch rates of >1 Å/cycle at temperatures above 300 °C. The underlying InGaP could then be reliably etched with no top layer formation. In the optimized process, the InGaP native oxide was first removed using sequential HF and TMA exposures. Subsequently, the InGaP was etched using sequential HF and DMAC exposures.

The removal of surface defects by ALE was also studied using ex situ X-ray photoelectron spectroscopy (XPS). InGaP samples damaged by Ar+ ion sputtering were analyzed before and after thermal ALE. The XPS analysis focused on the phosphorus XPS signals that revealed the sputter damage. The proportion of the phosphorus XPS signal intensity attributed to sputter damage was found to decrease versus number of thermal ALE cycles.

5:20 PM AP+PS+TF-TuA-10 Thermal Atomic Layer Etching of MoS2 Films
Jake Soares (Boise State University); Anil Mane (Argonne National Laboratory); Steven Hues (Boise State University); Jeffrey Elam (Argonne National Laboratory); Elton Graugnard (Boise State University)

2D materials can offer promise for a wide range of application within semiconductor manufacturing. Of these materials, molybdenum disulfide (MoS2) is of great interest due to its high mobility, measured on/off ratio, tunable band gap, and a film thickness ideal for scaling. In order to move this material closer to integration with semiconductor manufacturing, a great amount of processing control is required. Atomic layer processing techniques can accommodate this needed precision, where both the deposition and removal of MoS2 has been studied. In this work we report a thermal atomic layer etching (ALE) process for MoS2 using MoF6 and H2O as precursor reactants. Here, we will discuss atomic layer etching of both amorphous as-deposited and crystalline MoS2 films. In–situ quartz crystal microbalance measurements (QCM) indicate removal of as-deposited films when switching from a deposition chemistry (MoF6 + H2S) to the proposed etching chemistry (MoF6 + H2O). Saturation curves for the etching process were additionally identified with QCM by studying the mass gained per cycle versus the precursor dose duration. Films deposited on planar coupons were characterized with ellipsometry and X-ray reflectance to determine the etch per cycle. We propose the chemical reaction equations for the etch process as guided by residual gas analysis of byproduct formation, Gibbs free energy calculations, and QCM mass ratio analysis. After ALD and subsequent ALE processing, we produced few layer crystalline MoS2 films once annealed. With the many application of both amorphous and crystalline MoS2, this work helps to identify and expand current atomic layer processing chemistries.

5:40 PM AP+PS+TF-TuA-11 Atomically-Precise Surface Processes: From Molecular Mechanisms to Realistic Devices
Andrew Teplyakov (University of Delaware)

The atomic-level precision in designing surfaces and nanostructures is quickly making its way from the one-off laboratory investigations into chemical manufacturing. However, in order to make the applications feasible, fundamental understanding of the mechanisms of surface reactions leading to the formation of the desired surface structures is needed. A great deal of progress has been made over the years in uncovering reactions behind atomic layer deposition (ALD), but much more limited information is available about atomic layer etching (ALE), although both processes are often required to build the components of present and future microelectronics.

This talk will highlight recent work on understanding the reactions for metal and metal oxide deposition on functionalized (and patterned) surfaces and on recent advances in ALE of complex materials, specifically focused on tertiary alloys, such as CoFeB, used in magnetic tunnel junctions. I will outline the work needed to understand the mechanisms of these processes that can be further used to improve the control over atomically-precise manufacturing methods and to reduce the use of hazardous procedures. The relatively well-understood ALE procedures that include oxidation or chlorination as the first half-cycle of ALE of such materials and introduction of a bidentate ligands (such as acetylacetonates) to remove complex materials uniformly and with atomic-level control will be extended to describe the potential use of much milder conditions and reagents. A combination of experimental and computational methods will be used to make this analysis possible.

6:00 PM AP+PS+TF-TuA-12 Deposit and Etchback Approach for Ultrathin and Continuous Films Using Atomic Layer Deposition and Atomic Layer Etching
Jonas Gertsch, Emanuele Sortino, Victor Bright, Steven George (University of Colorado Boulder)

Ultrathin and continuous films are desirable in many devices such as MIM capacitors and ferroelectric tunnel junctions. Atomic layer deposition (ALD) methods are often used to deposit these ultrathin films. However, nucleation delays can lead to pinholes and thickness variations in ALD films. Higher quality ultrathin and continuous films can be obtained using a deposit and etchback approach using ALD and atomic layer etching (ALE). In this method, an ALD film is deposited to a thickness greater than the desired thickness to reduce the number of pinholes and form a more continuous ALD film. Subsequently, the ALD film is etched back to a smaller thickness using ALE.

The deposit and etchback approach can be illustrated for Al2O3 ALD in metal-insulator-metal (MIM) capacitors [1]. The benefit of the deposit and etchback approach can be measured by the percentage yield of MIM capacitors based on an Ag/Al2O3/Al structure that do not have an electrical short. Al2O3 ALD was performed using sequential exposures of trimethylaluminum (TMA) and H2O as the reactants. Thermal Al2O3 ALE was conducted using sequential exposures of HF and TMA as the reactants. The experiments confirmed that the device yield was improved using the deposition and etchback approach. For example, using device areas of 0.01 mm2, Al2O3 ALD films that were grown to 5 nm in the MIM capacitor displayed a yield of 30-40%. In contrast, Al2O3 ALD films that were grown to 24 nm and then etched back to 5 nm to form the MIM capacitor displayed a much higher yield of 65-75%.

Additional experiments revealed that a portion of the yield improvement can be attributed to the fluorination of the Al2O3 ALD films [1]. Fluorination produces a volume expansion when forming AlF3 from Al2O3. This volume expansion may produce a compressive stress that helps to close the pinholes. The deposit and etchback approach can also be used to improve the performance of Hf0.5Zr0.5O2 (HZO) ferroelectric tunnel junctions. Thicker HZO films are needed to crystallize HZO films by thermal annealing. However, thinner HZO films are required for the best devices. Recent experiments have shown that the deposit and etchback approach can substantially improve the device performance of HZO ferroelectric tunnel junctions [2].

[1] J.C. Gertsch et al., “Deposit and Etchback Approach for Ultrathin Al2O3 Films with Low Pinhole Density Using Atomic Layer Deposition and Atomic Layer Etching”, J. Vac. Sci. Technol. A39, 062602 (2021).

[2] M. Hoffmann et al., “Atomic Layer Etching of Ferroelectric Hafnium Zirconium Oxide Thin Films Enables Giant Tunneling Electroresistance”, Appl. Phys. Lett. 120, 122901 (2022).

Session Abstract Book
(292KB, Nov 18, 2022)
Time Period TuA Sessions | Abstract Timeline | Topic AP Sessions | Time Periods | Topics | AVS 68 Schedule