AVS 68 Session AP+2D+AS+EM+PS+SS+TF-MoM: Area Selective Processing and Patterning

Monday, November 7, 2022 8:20 AM in Room 317

Monday Morning

Session Abstract Book
(310KB, Nov 18, 2022)
Time Period MoM Sessions | Abstract Timeline | Topic AP Sessions | Time Periods | Topics | AVS 68 Schedule

Start Invited? Item
8:20 AM AP+2D+AS+EM+PS+SS+TF-MoM-1 Imperfectly Perfect Materials and/or Processes as a Route for ASD
Christophe Vallee (SUNY POLY, Albany); Marceline Bonvalot, Moustapha Jaffal, Taguhi Yeghoyan (University Grenoble Alpes, LTM, CNRS); Nicolas Posseme, Remy Gassilloud, Thierry Chevolleau (CEA/LETI-University Grenoble Alpes, France)

In recent years, many strategies have been presented to selectively deposit a material on a specific surface (area selective deposition), or space direction (topographically selective deposition). Whatever the selective process developed to this end (inherent delay, surface inhibition, surface activation, super-cycles...), it is expected that a perfect material is perfectly deposited on the surface at stake. However, this most often implies that some imperfect material is thereby deposited on surfaces where no growth is expected. Taking this logic a little further ahead, we can even imagine that it may not be at all necessary to develop perfect ALD processes to achieve ALD-based selective deposits.

In this presentation, we will show how imperfect ALD processes can be developed by working out of the ideal precursor ALD window or regime, to adequately lead to ASD processes. We will also show that the requirements of an ideal growth inhibition of no-growth surfaces can be successfully circumvented for ASD processes. Indeed, the simultaneous deposition of a same material on two differing substrates can lead to inherent discrepancies in the materials quality, that can be advantageously taken into consideration in the development of a localized bottom-up growth strategies by adding a selective etching step.

9:00 AM AP+2D+AS+EM+PS+SS+TF-MoM-3 Area Selective Deposition on EUV Photoresist
Rosanna Robert (SUNY College of Nanoscale Science and Engineering); Hunter Frost, Katie Lutker-Lee (TEL Technology Center, America, LLC, USA); Christophe Vallée (SUNY College of Nanoscale Science and Engineering)

Area selective deposition (ASD) is a key process required for the next generation of nanotechnology. ASD utilizes surface chemistry and reaction modifications to promote growth on one of two different materials. When applying an ASD process to a patterned wafer with both materials exposed, we can selectively grow a film on one surface while blocking growth on the other surface (known as the nongrowth area). One application for ASD is ultra-thin extreme ultraviolet (EUV) photoresist repair to enable continued pitch scaling in the Back End of Line1. Pattern transfer fidelity depends on initial line edge roughness (LER) and line width roughness (LWR) values of the EUV resist. Moreover, local critical dimension uniformity (LCDU) for <30 nm critical dimension hole patterning also varies with the initial resist thickness2. In this project, we propose to develop an ASD on EUV resists before or alternatively during an etch process to improve LCDU and LER/LWR; in this case, the ASD is used as a corrective step.

To develop an ASD process that is relevant to device manufacturing, we only consider the gases present on a plasma etch chamber as precursors for ASD. We utilize the plasma assistance of the etch chamber to develop an ASD process by Plasma enhanced chemical vapor deposition, rather than by the more commonly exploited atomic layer deposition. This is more challenging since radicals from precursor dissociation are known to be highly reactive on the surface. However, it was recently demonstrated the selective PECVD of a silicon film on SiON surfaces using SiF4/H2 plasma3.

In this presentation, we will demonstrate ASD by PECVD on SnOx (a EUV resist material) vs SiO-based materials (underlayer) in a 300 mm plasma etch tool, and the impact of plasma precursors and parameters on selectivity. We will show that we can selectively deposit film on SnOx. Results on full wafers and patterned samples will be presented. Thanks to the use of an in-situ plasma diagnostic, such as optical emission spectroscopy, as well as of ex-situ surface diagnostics such as X-ray photoelectron spectroscopy and scanning electron microscopy, we will discuss the mechanisms inherent to the selective growth and discuss the impact of chemistry of neighboring materials and pattern density.

1 J.Church, “Plasma based ASD for EUV resist defectivity reduction and process window Improvement” AVS (2021) Nov 2020

2 B. Vincent et al, Proc. SPIE 11323, “Extreme Ultraviolet (EUV) Lithography XI,”1132326 (23 Mar 2020)

3 G. Akiki et al, “Origin of area selective plasma enhanced chemical vapor deposition of microcrystalline silicon,” J. Vac. Sci Technol.A 39 (2021) 013201

9:20 AM AP+2D+AS+EM+PS+SS+TF-MoM-4 Impact of Post-Exposure Treatments on TMSDMA-Passivated SiO2 Surfaces
Anthony Valenti, Christophe Vallée, Carl Ventrice (SUNY Polytechnic Institute, Albany); Kandabara Tapily, Kai-Hung Yu, Steve Consiglio, Cory Wajda, Robert Clark, Gert Leusink (TEL Technology Center, America, LLC)
As the scale of semiconductor devices continues to shrink, conventional approaches to fabrication such as photolithographic patterning are becoming limited in their ability to provide the precision and resolution required for smaller and smaller features. Over the last several years, a bottom-up and self-aligned patterning technique known as area-selective deposition (ASD) has been explored. With this technique, the deposition process is manipulated in such a way as to only promote growth on one type of surface on a patterned substrate. This is typically achieved by inhibiting specific surfaces through the selective chemisorption of molecules that are inert to the reactants used for growth of the material of interest. Aminosilane precursors such as N-(trimethylsilyl)dimethylamine (TMSDMA) are of recent interest due to their potential use in area-selective atomic layer deposition (AS-ALD). With their strong selective chemisorption on SiO2 surfaces versus Si and non-oxidized metal surfaces, these precursors can be used to block deposition of metals on SiO2 while not inhibiting growth on Si or metal surfaces. For aminosilanes to be used as inhibiting precursors in AS-ALD, the resulting layer must maintain its passivation throughout a dozen or more ALD cycles. This study investigates the impact of various common ALD co-reactant/post-exposure treatments on SiO2 surfaces passivated via exposure to TMSDMA, including ozone exposure, H2 plasma treatment, and H2 plasma treatment followed by H2O vapor exposure. This project also explores using a second inhibitor dosing via NF3 plasma treatment in order to fluorinate any nucleation sites left vacant on the SiO2 surface after TMSDMA exposure. These treatments were conducted on samples of TMSDMA adsorbed on Si(100) substrates with 1000 Å thick thermal oxide surfaces. Water contact angle measurements were taken of each sample to determine relative surface passivation of each sample and to monitor temporal degradation of the surfaces over a timescale spanning weeks. Angle-resolved X-ray photoelectron spectroscopy and attenuated total reflection/Fourier transform infrared spectroscopy were performed in order to determine the chemical state of each surface. Temperature programmed desorption measurements were conducted to assess the relative coverage of the inhibiting film on each sample and their stability at higher temperatures.
9:40 AM AP+2D+AS+EM+PS+SS+TF-MoM-5 Area-Selective ALD Using Small Molecule Inhibitors of Different Sizes: Single and Sequential Inhibitor Dosing
Pengmei Yu, Marc J. M. Merkx, Ilker Tezsevin (Eindhoven University of Technology); Paul Lemaire, Dennis M. Hausmann (Lam Research Corp.); Tania Sandoval (Federico Santa María Technical University); Wilhelmus M. M. Kessels, Adriaan J. M. Mackus (Eindhoven University of Technology)

Due to the continuous scaling of semiconductor device features, area-selective atomic layer deposition (ALD) is gaining attention for enabling bottom-up fabrication with atomic-scale control. Area-selective ALD can be achieved by surface deactivation of the non-growth area using inhibitor molecules. Small molecule inhibitors (SMIs) are of great interest due to the vapor-phase application and corresponding industrial compatibility.[1] Our previous work established that SMIs block precursor adsorption by a combination of chemical passivation of surface sites and steric shielding.[2] In this contribution, we compared three SMIs of different sizes for SiO2 inhibition on the Al2O3 surface, namely acetic acid (HAc), acetylacetone (Hacac), and 2,2,6,6-tetramethyl-3,5-heptanedione (Hthd), and explored sequential dosing of two different SMIs to increase the overall inhibitor packing.

We first focused on the use of a single SMI and studied how the size influences their performance. By in-situ spectroscopic ellipsometry and infrared spectroscopy studies, it is observed that using either a smaller (HAc) or a larger (Hthd) SMI than Hacac[3] could improve SiO2 ALD inhibition. Density functional theory and random sequential adsorption simulations were performed to further understand experimental findings. We found that although both steric shielding and chemical passivation are required for effective precursor blocking by SMIs, neither of them plays a dominating role. As compared to Hacac, HAc performs better due to its small size, yielding denser packing and thereby a higher degree of chemical passivation. Hthd on the other hand, benefits from its bulkiness, resulting in a higher contribution from steric shielding.

In an effort to achieve a higher selectivity, we explored whether sequentially dosing of two different SMIs can lead to higher surface coverage and deactivation. It is found that enhanced precursor blocking of 98.4 ± 0.2 % could be achieved by dosing HAc and Hthd sequentially, which is higher than either 96.0 ± 0.6 % by Hthd or 97.0 ± 0.5 %by HAc solely. Results for various combinations of inhibitors and sequences will be presented.

In summary, this work illustrates that varying the size of SMIs could bring benefits from either higher steric shielding or chemical passivation components for improved precursor blocking performance. In addition, it is demonstrated that combination of SMIs could potentially be an effective strategy for achieving higher selectivity.

[1] A.J.M. Mackus et al., Chem. Mater. 31, 2 (2019).

[2] M.J.M. Merkx et al., J. Phys. Chem. C 126, 4845 (2022).

[3] M.J.M. Merkx et al., J. Vac. Sci. Technol. A 39, 012402 (2021). View Supplemental Document (pdf)
10:00 AM AP+2D+AS+EM+PS+SS+TF-MoM-6 Role of Catalytic Surface Reactions During Area-Selective Tan ALD for Precursor Blocking Using Aniline Molecules
Marc Merkx, Ilker Tezsevin, Pengmei Yu, Rik Heinemans, Rik Lengers, Erwin Kessels, Adrie Mackus (Eindhoven University of Technology); Tania Sandoval (Federico Santa Maria Technical University)

The semiconductor industry is running into significant issues regarding RC-delays in state-of-the-art interconnect structures. A solution is to selectively deposit the transition metal nitride diffusion barrier on the dielectric via sidewalls but not at the bottom, known as a bottomless barrier structure.[1]. In this contribution, area-selective TaN ALD is investigated using aniline as a small molecule inhibitor (SMI),[2] with the focus on studying the catalytic surface reactions that take place on the metal non-growth area after aniline adsorption.

Area-selective TaN ALD was achieved using a three-step (i.e. ABC-type) ALD cycle using: (A) aniline as SMI, (B) tert-butylimidotris(dimethylamino)tantalum (TBTDMT) as the precursor molecule, and (C) an Ar-H2 plasma as the co-reactant. This process was found to selectively deposit TaN on dielectric surfaces (e.g. Al2O3 and SiO2) considering the metal surfaces (e.g. Co, Ru) as non-growth area. It was observed that the selectivity of the process is strongly dependent on the employed substrate temperature. At 175 °C only ~1 nm TaN can be deposited selectively, whereas by raising the substrate temperature to 300 °C ~3 nm of selective TaN growth was achieved on Al2O3, with respect to Ru as the non-growth area.

An explanation for the strong temperature dependence for the selectivity of this process could be the catalytic reactivity of the metal non-growth area. For example, through density functional theory (DFT) calculations, it was found that aniline can undergo a hydrogenolysis reaction on transition metal surfaces, where the amine group splits off as NH3 while benzene remains adsorbed on the surface. This reaction eliminates the NH2 groups from the surface that could otherwise interact with incoming precursor molecules.[3] Experimental and simulation results will be presented to provide insight into the role that catalytic surface reactions play during area-selective ALD on metal surfaces.

[1] Merkx et al., Atomic Limits 7, (2022) https://www.atomiclimits.com/2022/04/18/

[2] Merkx et al., Chem. Matter 32, 7788-7795 (2020)

[3] Merkx et al., Chem. Matter. 32, 3335-3345 (2020).

View Supplemental Document (pdf)
10:20 AM BREAK
10:40 AM AP+2D+AS+EM+PS+SS+TF-MoM-8 AVS Russell and Sigurd Varian Awardee Talk: Sequential Application of Two Inhibitors to Achieve Area-Selective Atomic Layer Deposition of Dielectric on Metal
Tzu-Ling Liu, Maggy Harake, Stacey Bent (Stanford University)

Area-selective atomic layer deposition (AS-ALD), which provides a bottom-up approach to fabricate patterned structures, has been considered as a prospective solution to overcome the challenges in current semiconductor manufacturing processes. To enable more applications of AS-ALD, it is critical to expand the AS-ALD toolbox to different types of surfaces. Previous studies have successfully demonstrated selective deposition of dielectrics on the dielectric (DoD) regions of metal/dielectric patterns using alkanethiols and phosphonic acids as the inhibitors for metal surfaces. However, doing the reverse pattern transfer, i.e., selective deposition of dielectrics on the metal (DoM) regions, is less well-investigated because selective inhibitor deposition on dielectric over metal is more challenging. Taking organosilane, a common inhibitor choice for dielectric surfaces, as an example, it can also adsorb on metal substrates when native metal oxide is present. Hence, it is important to develop a strategy to protect metal surfaces from the adsorption of organosilane inhibitors for achieving AS-ALD of DoM.

In this work, we demonstrate a two-step strategy to achieve selective deposition of DoM by using two different SAMs with orthogonal surface chemistry, i.e., one SAM preferentially adsorbs on the metal, which serves as a protector to prevent the adsorption of the other SAM onto the metal, and the other primarily adsorbs on the dielectric, which serves as an inhibitor for AS-ALD. We sequentially perform dodecanethiol (DDT) deposition on Cu surfaces, followed by octadecyltrimethoxysilane (OTMS) deposition on SiO2 surfaces. Since the Cu surfaces are protected by DDT in the first step, OTMS selectively forms a well-packed self-assembled monolayer (SAM) only on SiO2. With this strategy, we demonstrate AS-ALD of ZnO and Al2O3 on Cu (growth surface) over SiO2 (non-growth surface) after applying a thermal step to selectively remove DDT protector from Cu. The blocking results show that selectivity > 0.9 can be maintained after 35 cycles of ZnO ALD (corresponding to 5.6 nm of ZnO on a reference native SiO2-covered Si substrate) and 15 cycles of Al2O3 ALD (corresponding to 1.4 nm of Al2O3), respectively, using this sequential two-step SAM process. Our study helps expand the selective deposition toolbox and provide more possible applications for AS-ALD in next generation electronic devices.

11:00 AM AP+2D+AS+EM+PS+SS+TF-MoM-9 Carborane Self-Assembled Monolayers for Area-Selective Deposition
Michelle Paquette, Raja Sekhar Bale, Rupak Thapa, Sai Siva Kumar Pinnepalli (University of Missouri-Kansas City); Jeffery Bielefeld, Sean King (Intel Corporation)

Area-selective deposition (ASD) is an important strategy in improving the fidelity of and/or reducing the complexity of current multi-pattern pitch-division processes. An expanded palette of ASD materials and processes is needed. Boron carbide (BC) has been demonstrated to be a compelling candidate for low-k dielectric, etch stop, diffusion barrier, and patterning-assist layers, due to its robust electrical, mechanical, and chemical properties, as well as unique etch chemistry. The molecular carborane precursor is of interest for BC-based self-assembled monolayers (SAMs). This is a symmetric twelve-vertex molecule, with many available and typically sublimable derivatives. For SAM applications, carborane stands out in that its 3D symmetry allows for the formation of well-ordered layers, and the termination of its vertices by labile H atoms allows for cross-linking with a variety of mechanisms including heat, plasma, and radiation (e.g., UV, e-beam). Carborane SAMs can conceivably fulfill various roles in ASD schemes, including as an intrinsically selective functional dielectric layer (e.g., diffusion barrier), sacrificial layer (e.g., hard mask), direct-writeable layer, or blocking layer to facilitate the selective deposition of other materials. We describe progress in the deposition and characterization of carborane SAMs toward the development of a range of ASD schemes and applications.

11:20 AM AP+2D+AS+EM+PS+SS+TF-MoM-10 Peter Mark Memorial Award Talk: Reactive Inhibitory Chemistries for Area Selective Depositions and Their Application in Back End of the Line Processes
Rudy Wojtecki (IBM Almaden Research Center)

Area selective depositions (ASD) describe self-aligned processes where the chemical contrast of surfaces are exploited to selectively grow a film. ASD can be applied to a variety of fabrication schemes to improve tolerance to overlay errors in fully aligned via schemes or achieve device performance improvements by reduce resistance between interconnect levels in barrier-less contacts that reduce stage delay. While ASD processes are accessible through a variety of methods – differences in surface reactivities between materials, self-assembled monolayers (SAMs) and small molecule inhibitors, to name a few – reactive organic inhibitors and their application in ASD processes will be described. Reactive inhibitor compositions can be selectively deposited on a metal portion of a pre-pattern surface, then undergo (i) a crosslinking reaction or (ii) further chemical transformations used to grow an inhibitory film to a desired thickness. Crosslinking of a monolayer film for ASD was demonstrated with the introduction of diyne moieties into a SAM composition, which is crosslinked under either UV or thermal treatment. These crosslinked monolayers were found to enhance selectivity in an ASD process and reduce defects on patterned substrates. With synthetic modifications to increase the length of the SAM, further reductions in defectivity was achieved as well as the ASD of TaN. Electron beam irradiation of aliphatic moieties are also known induce crosslinking. With the use of hydroxamic acid head groups the chemical contrast between exposed (crosslinked) and non-exposed regions could be significant enough to enable a patternable ASD process where, as the crosslink density increased the selectivity of the monolayer is further improved. These SAM chemistries require solution-based coating methods but the concept of a crosslinkable inhibitor could also be translated to a vapor phase process, demonstrated with propargyl amine and vinyl pyridine. To tailor inhibitor thickness in strategy (ii) chemically reactive surfaces were exploited where monomers are selectively attached to a metal surface then polymers grown in an area selective manner with tailorable thicknesses, demonstrated with a polynorbornene and poly(vinylpyridine). This tailorable thickness presents several advantages over monolayers – such as enabling ASD on patterns with topography (sharp corners & bends) or the control of lateral overgrowth. These reactive inhibitory chemistries demonstrate an inhibitory chemistry strategy for ASD and their use in back end of the line applications such as fully aligned via, barrier-less contacts or zero-line end extensions.

Session Abstract Book
(310KB, Nov 18, 2022)
Time Period MoM Sessions | Abstract Timeline | Topic AP Sessions | Time Periods | Topics | AVS 68 Schedule