AVS 67 Session AP-Invited On Demand: Atomic Scale Processing Invited On Demand Session

On Demand available October 25-November 30, 2021

Session Abstract Book
(295KB, Oct 26, 2021)
Time Period OnDemand Sessions | Topic AP Sessions | Time Periods | Topics | AVS 67 Schedule

AP-Invited On Demand-1 In-situ Characterization of the Earliest Stages of Selective ALD Growth and Inhibition
Alex Martinson (Argonne National Laboratory)
A vast literature of ALD synthesis and processing has been developed to produce high quality thin films with compositions that span the periodic table. However, these synthesis and processing conditions are often optimized for adding thickness to growing film front via (A-B)n cycles. Our associated mechanistic understanding of these processes is often gleaned from in situ study of film growth, which can be examined in detail and averaged over many sequential and identical cycles. In contrast, the earliest stages of nucleation, inhibition, and selective ALD may differ significantly with each cycle and add material with far sub-monolayer coverage. This makes characterizing and understanding nucleation, inhibition, and selective growth especially challenging. I will describe our recent efforts to understand nucleation and inhibition on a variety of substrates including self-assembled monolayers (SAMs) and graphene. A combination of in situ quartz crystal microbalance, spectroscopic ellipsometry, ab initio computation, and microcalorimetry reveal new insight into the earliest stages of deposition.
AP-Invited On Demand-7 Atomic Layer Processing Using Low-Energy Cluster Beam Irradiation
Noriaki Toyoda, Kota Uematsu (University of Hyogo, Japan)

Gas cluster ion beams (GCIBs) are clusters of several thousands of gaseous atoms or molecules. Since thousands of low-energy (several eV) molecules bombard the same position simultaneously, bombarded area experiences transient high-temperature and high-pressure conditions. These phenomena enhance surface reactions, and also enhances desorption of etching products.

We have been studying atomic layer etching using low-energy GCIB to enhance the surface reactions between adsorbed molecules and target atoms.

In this study, we report the surface reactions between metals (such as Ni) and diketone molecules induced by Ar or O2-GCIB irradiation. From the in-situ XPS studies, the nickel oxide layer with acac or hfac adsorption are selectively removed by 5 keV Ar-GCIB irradiation. After removal of the nickel oxide, metallic nickel surface appeared, and etching stopped. From the etching depth measurement after multi-cycle ALE of Ni films, it also showed that both oxidation of nickel and adsorption of acac were necessary for Ni ALE with GCIB. In this report, preliminary results of etching of MoS2 using GCIB will be also reported.

AP-Invited On Demand-13 The Thinner, The Better - Characterization of Ultra-thin Films by Low Energy Ion Scattering (LEIS)
Thomas Grehl, Philipp Brüner (IONTOF GmbH, Germany)

Current and future thin film processes require quantitative characterization from the early phases of film growth to complex film stacks with a total thickness of only a few nm. While many surface analytical techniques are challenged by this requirement, Low Energy Ion Scattering (LEIS) analysis is ideally suited for ultra-thin film and sub-monolayer characterization. The key property is its single atomic layer information depth.

By scattering noble gas ions from the surface of the sample, LEIS determines the elemental composition of the surface of the outermost atomic layer. Nucleation processes and layer closure are investigated, but also diffusion from the bulk towards the surface can be studied with in-situ sample heating and continuous monitoring the surface composition.

In addition to the surface composition, also the distribution of elements over the first few nm of the sample is contained in the spectra. The so-called "in-depth information" is acquired in a virtually non-destructive way, avoiding sputtering and therefore the long measurement times and artefacts associated with it. For sufficiently thin films, the depth resolution is only a few Angstroms. This allows to study the development of the film thickness while also monitoring the film closure to determine the growth mode.

In some cases, low energy noble gas sputtering can is applied to extend the depth range beyond a few nm or to handle complex materials where "in-depth" and surface information cannot be deconvoluted.

In this contribution, we will highlight a number of examples from quite different materials and film systems. These will be used to illustrate how LEIS is applied in practical way. We will show how LEIS contributes unique information for modern ultra-thin film characterization.

AP-Invited On Demand-19 Spectroscopic Ellipsometry for Atomic Scale Processing
Harm Knoops (Oxford Instruments Plasma Technology)

To develop, improve and understand atomic scale processing techniques such as atomic layer deposition (ALD) and atomic layer etching (ALE) it is important to have sensitive techniques to characterize thin material increases, decreases or property changes. Spectroscopic ellipsometry (SE) is excellent for this purpose since it is a non-invasive all-optical diagnostic which can relatively easily monitor changes in thickness ranging from 0.1 to 100 nm.1 This talk will discuss the relevant basics of SE and will use examples to illustrate why SE it is well suited for atomic scale processing now and in the future. Key characteristics of ALD and ALE are self-limiting behavior and synergy (needing both reaction steps to take place). Using ex situ analysis of thick films to study these aspects is cumbersome, while with in situ SE there are many examples of easy characterization. Furthermore, initial growth effects relevant in ALD and area-selective ALD are best studied in situ.

For ALD, highlighted examples will include studying saturation, which can provide evidence for important processes such as the redeposition effect for silicon nitride ALD,2 and studying saturation is even possible for 2D materials which are challenging to model by SE. When using ex situ SE and data by other diagnostics (e.g. electrical and infrared) a deeper investigation of material properties is possible. For instance electrical properties can be calculated from the Drude absorption yielding insight into the electrical resistivity and electron scattering effects in ultrathin ZnO films.3 For ALE, SE has been much less used than for ALD, but similarly there are great opportunities and examples there. Easiest analysis occurs when smooth films of the target material are available (or deposited) on substrates. To this end the synergy of ALE has been tested and confirmed for ALE of ZnO using Hacac and O2 plasma.4 But also recently for ALE of Al2O3 using AlMe3 and SF6.5 Note that the latter chemistry can also result in the ALD of AlF3 and SE is a powerful tool to determine in situ whether ALD or ALE is occurring and under which circumstances. By clever set up and utilization of this diagnostic, SE has become a powerful and often essential tool to develop and understand ALD and ALE processes.

1 Langereis et al., J. Phys. D: Appl. Phys. 42, 073001 (2009)
2 Knoops et al., APL 107, 014102 (2015)
3 Knoops et al., JVST A 33, 021509 (2015)
4 Mameli et al., ACS Appl. Mater. Interfaces 10, 38588 (2018)
5 Chittock et al., In preparation
AP-Invited On Demand-25 Area-selective Atomic Layer Deposition of Palladium and Atomic Layer Etching of Palladium
Himmi Nallan, Xin Yang, Brennan Coffey, John Ekerdt (University of Texas at Austin)

ALD of metals can suffer from long nucleation delays leading to unwanted growth outside the patterned regions.We present an approach that first deposits a metal oxide selectively and reduces this metal oxide to the metal.This metal then serves as the nucleation site for ALD of Pd using a precursor chemistry that requires activation of molecular hydrogen.In the event non-selective nucleation occurs on passivated regions, we describe a low temperature ALE route to etch clusters/islands on the passivated regions faster than the desired film.This low temperature route exploits the general findings that metal oxides can be etched by a variety of vapor phase etchants – the issue is controlled oxidation while minimizing damage to adjacent surfaces.We present a low temperature route that involves VUV activation of O2 to produce atomic oxygen and ozone, with atomic oxygen being the dominant species that oxidizes the near surface region of Pd.Oxidation extents differ between continuous films and discontinuous films enabling the removal of metal islands without significantly etching the film.

This talk will discuss patterning an oxide substrate using UV-crosslinked 40-nm polystyrene (PS) films; the uncrosslinked PS is dissolved with toluene to expose the oxide surface. The ALD of NiO is highly selective on the exposed oxide surface using bis(N,N’-di-tert-butylacetamidinato)nickel(II) and H2O as coreactants. Ni and partially reduced NiO(1-x) are generated using molecular hydrogen and/or atomic hydrogen to present a surface that dissociates H2 and enables Pd ALD with Pd(hfac)2 and H2 to proceed with little to no nucleation delay. Finally, the talk will discuss vacuum ultraviolet (115 nm < λ < 400 nm) enhanced atomic layer etching (ALE) of thin (~2 and 20 nm) Pd films at 100 °C using formic acid as the etchant. Density functional theory is used to study the adsorption of oxidants (O and O3) and nudged elastic band calculations describe O diffusion into the films to understand the kinetic limitations of the oxidation step. Low-temperature Pd oxidation at 100 °C is achieved by exposure to vacuum ultraviolet (VUV) light (λ<160 nm) in the presence of O2. The islands are completely oxidized while Pd oxidation of a film is limited to approximately one atomic layer. Any PdO that forms is etched by exposure to formic acid.

AP-Invited On Demand-31 Strategies for a Selective Deposition Process Combining Deposition and Etching Steps in a Unique Tool
Christophe Vallée (SUNY POLY, Albany); Marceline Bonvalot, Taguhi Yeghoyan, Rémi Vallat, Moustapha Jaffal, Vincent Pesce (LTM - UGA/CNRS); Ahmad Chaker (University of Manchester); Samia Belahcen, Gauthier Lefevre, Bernard Pelissier (LTM - UGA/CNRS); Nicolas Possémé, Rémy Gassilloud (CEA/LETI-University Grenoble Alpes, France)

Selective deposition by ALD can be obtained using: inherent selectivity of the process1-2, surface activation3, surface deactivation 4-5, and ABC typesuper-cycles 6. The strategy developed in our laboratory is based on super-cycles with alternate deposition and etching steps7-10 in a unique ALD tool.

Up to now, we have developed ASD processes with many different types of etching steps, such as high-pressure plasma etching (radicals only), Reactive Ion etching, Atomic layer etching or sputtering (physical) etching steps. For this purpose, two different PEALD tools are used: either a CCP capacitive discharge tool from Kobus (now Plasma-Therm) with a grounded substrate holder, or an ICP PEALD tool from Oxford (Flexal) with an additional RF biasing of the substrate.

Plasmas from the PEALD tool can also be developed to the benefit for ASD processes. For instance, an appropriate plasma chemistry can modify the nucleation delay. Moreover, thanks to substrate biasing, one can also take advantage of energetic ions from the plasma to locally modify the nucleation delay as well as the materials GPC or density. This latest route combined with a post-deposition etching process is a fast and easy to develop process for topographically selective deposition (deposition in one space direction only).

This presentation will outline and provide several examples of all these different scenarios that we have developed recently in our group, as well as state of the art ASD solutions from other groups using etching steps or ions in PEALD processes.

1K. J. Hughes and J.R. Engstrom, J. Vac. Sci. Technol. A 30, 01A102 (2012)

2S. E. Atanasov et al, J. Vac. Sci. Technol. A 34, 01A148 (2016)

3A.J. M. Mackus et al, Chem. Mater. 24, 1752 (2012)

4M. Fang and J.C. Ho, ACS Nano 9, 8651 (2015)

5E. Farm et al, Sci. Tech. 27, 074004 (2012)

6A. Mameli et al, ACS Nano 11, 9303 (2017)

7R. Vallat et al, J. Vac. Sci. Technol. A 35, 01B104 (2016)

8R. Vallat et al, J. Vac. Sci. Technol. A 37, 020918 (2019)

9A. Chaker et al, Appl. Phys. Lett. 114, 043101 (2019)

10C. Vallée et al, J. Vac. Sci. Technol. A 38, 033007 (2020)

AP-Invited On Demand-37 Thermal Atomic Layer Etching - An Emerging and Enabling Etching Technology
Andreas Fischer, Aaron Routzahn, Ryan Gasvoda, Paul Lemaire, Jim Sims, Thorsten Lill (Lam Research Corporation)

In this presentation we discuss the state-of-the-art status of thermal atomic layer etching of various materials such as metals, metal oxides, metal nitrides, semiconductors and their oxides.We outline the basic chemical and thermodynamic reaction principles and identify materials relevant to the semiconductor industry that lend themselves for isotropic atomic layer etching.

Furthermore, application examples of this new etch technology are illustrated on device structures and its benefits as well as challenges are examined.We give an example of the technical challenges related to high aspect-ratio atomic layer etching including an overview on how to mitigate it in modern 3D NAND test structures.

Finally, we provide an outlook of the role thermal ALE can play in the manufacturing of advanced semiconductor devices.

AP-Invited On Demand-43 Nanoscale Chemical Analysis and Mapping of Atomic Scale Processes via Photo-Induced Force Microscopy
Sung Park, Derek Nowak, Tom Albrecht (Molecular Vista)

As device feature sizes move beyond sub-7 nm technology node, atomic scale processing techniques such as atomic layer deposition (ALD) and atomic layer etching (ALE) are being adopted to gain control over key processing parameters.These techniques are commonly combined with thin polymer barrier layers such as self-assembled monolayers (SAM) that are selectively located to achieve area selective deposition or etching. Atomic scale thicknesses, nanoscale lateral dimensions, and the combination of multiple materials consisting of organic and inorganic compounds, metals, and 1D/2D materials demand new metrology and characterization techniques to be developed to adequately asses and monitor these advanced processing techniques.Photo-induced Force Microscopy (PiFM) [1] combines infrared (IR) absorption spectroscopy and atomic force microscopy (AFM) to achieve nanoscale chemical analysis via localized IR absorption spectrum and mapping of heterogeneous materials on the surface of a sample (with sub-10 nm spatial resolution). The spectroscopic capability is useful for ascertaining the quality of the molecular species while the mapping capability is useful for investigating chemical pre-patterns as well as selectively deposited materials in area-selective processes like block copolymer directed self-assembly, sequential infiltration synthesis, and a variety of area-selective deposition techniques. PiFM applications on various atomic scale processes will be presented.

[1] D. Nowak et al., Sci. Adv. 2, e150157 (2016).

Session Abstract Book
(295KB, Oct 26, 2021)
Time Period OnDemand Sessions | Topic AP Sessions | Time Periods | Topics | AVS 67 Schedule