AVS 67 Session PS-Contributed On Demand: Plasma Science and Technology Contributed On Demand Session

On Demand available October 25-November 30, 2021

Session Abstract Book
(598KB, Oct 26, 2021)
Time Period OnDemand Sessions | Topic PS Sessions | Time Periods | Topics | AVS 67 Schedule

PS-Contributed On Demand-1 Forming Protection Layers Using SiCl4 Plasma for Highly Selective Etching
Miyako Matsui (Hitachi Ltd.); Kenichi Kuwahara (Hitachi High-Tech Corp.)
Three-dimensional patterning for fabricating fin-based field-effect transistors (Fin-FET) has increasingly necessitated etching processes with a higher selectivity in three dimensional and fine-pattern structures. Highly selective etching techniques require advanced processes to form protective layers on unetched materials, such as masks, sidewalls, and etch-stop materials.

In this study, we investigated a deposition process for forming protective layers on top of masks by using SiCl4 plasma generated by a microwave-ECR etching system. This process is effective for achieving extremely highly selective etching by depositing protective layers on unetched materials in the etching chamber before the etching procedure. In our experiments, a protective layer was formed only on the top of a line-and-space pattern as a mask before the bottoms of the line-and-space patterns were etched.

We suggested a process for forming uniform protective layers on the top of a pattern by using a deposition step followed by a uniformization step. During the deposition step, SiCl4/CO2 plasma was used to form a SiOx protective layer only on the top of the pattern. Duty cycle of the pulsed microwave affected the cross-sectional profile of the protective layer by controlling the plasma dissociation. During the re-etching step, the protective layer was re-etched using NF3/Ar plasma to clean the deposited layers formed at the bottoms of the pattern and improve the thickness distribution on the top of the patten within the wafer. The cross-sectional profile of the protective layer formed on the top of the pattern was also improved to the one desired by the re-etching step. According to XPS analysis of the protective layer, we found that etching rate of the protective layer during the re-etching step depended on the atomic concentration of C contained in the protective layer. This was because the C atoms contained in the SiOx protective layer caused a SiOx etching reaction by forming CO and SiFx.

We also developed a cyclic process, in which deposition and re-etching were carried out alternatively to etch the bottoms of the pattern using the protective layer as a mask. Using the cyclic process to form a SiOx protective layer on a line-and-space sample, we demonstrated that the uniform etching of SiO2 layer formed at the bottom of the patterns without etching over the top of the original pattern.

PS-Contributed On Demand-4 Focus Ring Erosion During Plasma Etching: Consequences of Dielectric Constant*
Xifeng Wang (University of Michigan); Hyunjae Lee, Seungbo Shim, Sang-Ki Nam (Samsung Electronics Ltd.); Mark J. Kushner (University of Michigan)

In plasma etching reactors, the structure surrounding the wafer, the a focus ring (FR), plays an important role in maintaining uniform fluxes of reactants across the wafer. The FR is typically made of dielectric materials. During etching, the sheath that forms over the wafer to accelerate ions into the wafer extends over the FR. Electrical charging of the FR modifies the sheath relative to that over the wafer. One wants the sheath uniform across the wafer-FR boundary to maintain etched critical dimensions (CDs) to the edge of the wafer. On the other hand, maintaining a high voltage sheath over the FR will erode the FR. A compromise is often made to maintain uniform fluxes and ion energy and angular distributions (IEADs) to the edge of the wafer while not significantly eroding the FR.

In this work, we computationally investigated the consequences of dielectric constant ε of the FR materials on FR erosion and uniformity of fluxes to the wafer. Reactor scale modeling was performed using the Hybrid Plasma Equipment Model (HPEM). The etching of the wafer and erosion of the FR was simulated by the Monte Carlo Feature Profile Model (MCFPM). A dual-frequency capacitively coupled plasma reactor was used with a 60 MHz high frequency (HF) source applied to the top electrode and a 5 MHz low frequency (LF) bias applied to the bottom electrode. The powers of the HF and LF in the base case were 600 W and 1000 W. The gas mixture was Ar/C4F8/O2 at a flow rate of 600 sccm and pressure of 30 mTorr. The top surface of the FR was quartz.

The series capacitance of the FR and its underlying structure is typically smaller than that of the wafer and its underlying structure. As a result, the FR charges quickly during the radio frequency cycle, which reduces the voltage drop across the sheath on top of the FR. The IEADs striking the FR are therefore generally lower energy with broader angular distributions. At the transition between the wafer and the FR, there is an angular skew in the IEADs that results from the difference in sheath thickness above the wafer and the FR. This skew, as well as the erosion rate across the FR, are functions of the dielectric constant of the FR base material. With low ε, the FR charges quickly, less plasma is produced above the FR and there is less voltage across the sheath which results in less FR erosion. Increasing ε of the FR produces a higher sheath voltage as well as higher ion fluxes over the FR which increases erosion, while the skew at the edge of the wafer is less severe.

* Work was supported by Samsung Electronics. This material was also based upon work supported by the U.S. Department of Energy, Office of Sciences under award numbers DE-SC000319 and DE-SC0020232.

PS-Contributed On Demand-7 Improving Estimation Accuracy of Film Thickness Using Machine Learning for End Point Detection in Dry Etching
Tsubasa Okamoto, Soichiro Eto (Hitachi Ltd.); Shigeru Nakamoto, Kosuke Fukuchi, Ryoji Asakura (Hitachi High-Tech Corp.)

Precise control of fabrication in each process is required in semiconductor manufacturing because the device structure is now three-dimensional and miniaturized. In a dry etching process, an end point detection (EPD) system that adjusts the etching time for each process is widely used to suppress the variation in the etched film thickness between wafers. In this system, the film thickness of the etching-target material is estimated based on the light spectrum reflected from a wafer during etching, and the etching process is stopped when the estimated thickness reaches a target value.

The accuracy of the film thickness estimation using EPD decreases when the wafer structure, such as the mask or sublayer film, differs for each wafer. For example, in an etching process after forming an etching mask, wafer-to-wafer variation in the mask thickness could occur. In this case, even though the film thickness of the etching-target material is the same, the accuracy of the film thickness estimation using EPD decreases because the light spectrum of the wafer has a different shape depending on the mask thickness. Therefore, high robustness against the variation in the device structure is required to estimate the film thickness accurately.

This study proposes a new method of film thickness estimation using a regression model of the relationship between the light spectrum and film thickness. A regression model based on the light spectra of wafers was created using machine learning to estimate the film thickness of wafers with various device structures precisely. Kernel ridge regression, which can create a nonlinear regression model, was used as the algorithm because the light spectrum changes nonlinearly with film thickness.

The accuracy of the film thickness estimation using the regression model for the wafers with various device structures using simulated light spectrum was examined. The light spectrum of the wafers in the Si3N4 film etching process was generated using an optical simulation, and the thickness of the SiO2 mask on the Si3N4 film was changed as a parameter of the variation in the device structure. The model was created based on the calculated light spectra of the wafers with various mask thickness. Then, the Si3N4 film thickness of the wafers with each mask thickness was estimated using the regression model. As a result, the Si3N4 film thickness of the wafers could be estimated with an error of 1 nm or less. High accuracy was possible in the film thickness estimation for the wafers with mask thickness that the regression model had not learned. Therefore, our proposed method has high robustness against variation in the device structure.

PS-Contributed On Demand-10 Floating Wire Assisted Plasma With Vapor Injection of Liquid Mixtures for Etching Titanium Compounds
Thi-Thuy-Nga Nguyen (Nagoya University); Kazunori Shinoda, Hirotaka Hamamura (Hitachi, Japan); Kenji Maeda, Kenetsu Yokogawa, Masaru Izawa (Hitachi High-Tech, Japan ); Kenji Ishikawa, Masaru Hori (Nagoya University, Japan)

Advanced fabrication of three-dimensional (3D) devices in semiconductor industry required to control isotropic etching of multiple metal gates made of titanium containing compounds. A wet etching of the Ti compounds is conventionally used with H2O2 mixtures; however, there are only few reports for success of wet etching of these compounds. In this study, we have been developing a new dry etching method, called atmospheric pressure plasma enhanced atomic layer etching (APP-ALE) for isotropic etching of the Ti compounds by using a floating wire-assisted atmospheric pressure (FW-APP) with vapor injection of liquid sources.

In surface modification processes, fluxes and energies of ions, radicals, electronically excited particles, and photons in the visible and UV range should be controlled. Here radicals are more stable and are able to travel long distances in flowing gases or by diffusion in our setup. The FW-APP source consists of a 200-mm-high discharge quartz tube with a three-turn Cu coil connected with a VHF power of 100 MHz and a long floating metal wire placed inside to improve the plasma generation at a remote region.1,2 The FW-APP has a high electron density (1014 cm-3), and a high radical density is produced. Vapors generated from liquid sources were injected into the plasma contact region on the sample surface for surface modifications of the Ti compound film. Radicals were detected from optical emission spectra of the plasma. Surface modification of the Ti compound surface was analyzed by X-ray photoelectron spectroscopy.

In a similar manner of the atomic layer etching, the modified layer with lower surface binding energy can be removed by an additional step. The reaction chemistry of FW-APP at sample surface brings a large difference in chemical kinetics as compared with low pressure plasma. Rich radical source that can work as a co-reactant or etchant species enhances the reaction rate with the sample surface. Therefore, APP-ALE seeds the prospect of developing a high-performance etching method with low-cost, large-area, and high-speed treatment at relatively low substrate temperatures.

1 T.T.N. Nguyen, M. Sasaki, H. Odaka, T. Tsutsumi, K. Ishikawa, and M. Hori, J. Appl. Phys. 125, 063304 (2019).

2 T.T.N. Nguyen, M. Sasaki, T. Tsutsumi, K. Ishikawa, and M. Hori, Sci. Rep. 10, 17770 (2020).

PS-Contributed On Demand-13 Plasma Based ASD for EUV Resist Defectivity Reduction and Process Window Improvement
Jennifer Church (IBM Research Division, Albany, NY); Katie Lutker-Lee (TEL Technology Center, America, LLC); Luciana Meli, Eric Miller (IBM Research Division, Albany, NY); Angelique Raley (TEL Technology Center, America, LLC)
EUV lithography has overcome significant challenges to become an essential enabler to the logic scaling roadmap [1]. However, it remains limited by stochastically driven defects, such as line breaks and line bridges for aggressive pitches. [2] This is especially relevant for the back end of line (BEOL) which requires the most aggressive scaling [3]. Stochastic defects reduce device yield and may push device manufacturers to move to EUV multi-patterning beyond 36nm pitch single exposure which is a costly option.

While the lithography and patterning stack can be optimized to provide the largest process window with the lowest number of defects, process margins decrease as smaller pitches are required [2]. Currently, for some lithography stacks, especially spin on glass (SOG) based tri-layer stacks, the defect free process window beyond 36nm pitch is limited by line collapse [4]. Reduction in resist thickness may mitigate pattern collapse, but it may also increase the number of line breaks – trading one killer defect for another [5].

In this presentation we expand on an area selective deposition (ASD) process in-situ of an etch chamber to selectivity deposit material on the EUV photoresist prior to transferring the pattern downstream.[6,7] We demonstrate mitigation of resist line notching and breaks while maintaining deposition free open areas and clear alignment marks. Due to the inherent chemical selectivity of the deposition process as opposed to a purely aspect ratio driven deposition process, thinner resists that, with a normal etch condition would results in line breaks, can now be considered. This drives down flop-over defect issues seen with thicker EUV resists and enables several underlayers systems that could otherwise not be considered. Finally we demonstrate that defectivity levels measured by e-beam inspection post lithography and post pattern transfer and yield are both improved at 30nm pitch when this ASD process is used.

[1] Luciana Meli et al. SPIE Proceedings Volume 11609, Extreme Ultraviolet (EUV) Lithography XII; 116090P (2021) https://doi.org/10.1117/12.2583566

[2] Peter De Bisschop "Stochastic printing failures in extreme ultraviolet lithography," Journal of Micro/Nanolithography, MEMS, and MOEMS 17(4), 041011 (25 September 2018).

[3] IRDS Roadmap https://irds.ieee.org/editions/2018

[4] Shibata, N. et al. Proc. SPIE 10957, Extreme Ultraviolet (EUV) Lithography X; 10957IJ (2019) https://doi.org/10.1117/12.2514885

[5] A. De Silva, L. Meli, D. L. Goldfarb, and N. M. Felix, “Fundamentals of resist stochastics effect for single-expose EUV patterning,” Proc. SPIE 10957, vol. 109570F, no. March 2019, pp. 1–11, 2019, doi: 10.1117/12.2515926.

[6] Toshiharu Wada et al. Proc. SPIE 10963, Advanced Etch Technology for Nanopatterning VIII, 109630I (29 March 2019); https://doi.org/10.1117/12.2514764

[7] Eric Miller et al. Proc. SPIE 11615, Advanced Etch Technology and Process Integration for Nanopatterning X, 116150A (26 February 2021); https://doi.org/10.1117/12.2583666

PS-Contributed On Demand-16 Extreme Contact Hole Shrink for BEOL Connectivity
Filip Schleicher, Sara Paolillo, Stefan Decoster, Chen Wu, Victor Vega Gonzalez, Frederic Lazzarino (IMEC)

Extreme scaling of dimensions in the semiconductor industry requires not only novel materials but also advanced patterning concepts. One of such challenges is the Back End Of Line (BEOL) interconnect via etch which requires increasingly higher aspect ratios and lower bottom Critical Dimensions (CD) with tight control in order to ensure proper landing on the metal line below. In this paper, several etch approaches to pattern a via landing on Metal Pitch (MP) 21nm will be shown. Etch chemistry has been fine-tuned at several steps in attempt to adjust shrink at different levels of the stack while still maintaining vias open. Moreover, liner-assisted shrinkage, both organic and inorganic, have been implemented. As a result, BEOL vias of aspect ratio of 10 and the bottom CD of 10.5nm have been achieved. Low CD Uniformity (CDU), Local CDU (LCDU) and defectivity have been identified by numerical analysis, large area Voltage Contrast (VC) technique and Transmission Electron Microscopy (TEM), showing correct landing of vias on the metal below. Results point to several etch shrink options for scaled BEOL vias, which can be implemented in the industry-grade interconnects.

PS-Contributed On Demand-19 Direct Metal Etch of Molybdenum and Ruthenium: Patterning Challenges for N3 and Beyond
Stefan Decoster, Souvik Kundu, Frédéric Lazzarino (IMEC); Elisabeth Camerotto (LAM Research)

For several decades, the semiconductor industry has been successfully following Moore’s law. This has been achieved by a combination of dimensional scaling, introducing new patterning concepts, innovative device architectures, design-technology co-optimization, tool improvements, and integrating new materials. In the back end of line (BEOL), the Al-based interconnects were eventually replaced in the mid 90’s by Cu-based interconnects. However, in contrast to Al or Al-alloys, direct metal etch of Cu turned out to be too challenging. Therefore, the direct metal etch approach was replaced with damascene patterning, i.e., defining trenches and vias in a dielectric material and then filling these structures with a metal, such as Cu.

Although damascene patterning has many technical challenges, Cu-based interconnects have been around for almost three decades in many consecutive technology nodes. As we are slowly progressing towards metal pitches of 20 nm and smaller in the BEOL interconnects, the resistance of Cu metal lines is increasing rapidly due to surface and grain boundary scattering. Therefore, many research efforts are currently spent to find alternative metals to replace Cu at these small pitches, both for metal lines as well as for vias. Two interesting candidates, which are expected to have a so-called cross-over point with Cu at very small dimensions (~10nm) are Ruthenium (Ru) and Molybdenum (Mo).

An important advantage for Ru and Mo is that both materials can be patterned through direct metal etch, which simplifies the overall patterning flow, and eventually opens up the possibility for exploring new integration concepts and patterning approaches. During the last few years, we have investigated at imec the direct metal etch of both materials, starting at a more relaxed metal pitch of 32 nm, but targeting to scale down towards 18 nm metal pitch.

In this work, we will not only share the status of the direct metal etch of Ru and Mo at imec, but also focus on the challenges that arise when patterning these metals at such small pitches. While Ru patterning is being impacted by oxidation of the hard mask, the major challenges for Mo patterning are the sidewall protection and the oxidation of the metal lines. Finally, we will share the results of the efforts to mitigate these obstacles.

PS-Contributed On Demand-22 High Aspect Ratio Supervia Dual Damascene Etch for iN5 and Beyond
Harinarayanan Puliyalil (IMEC, Leuven); Yannick Feurprier, Noriaki Oikawa (Tokyo Electron Miyagi Ltd.); Victor Vega Gonzalez, Basoene Briggs, Daniel Montero, Frederic Lazzarino, Zsolt Tokei (IMEC, Leuven); Satoru Nakamura, Shigeru Tahara, Kaushik Kumar (Tokyo Electron Miyagi Ltd.)

Challenges in the BEOL CMOS scaling towards advanced technology nodes are mainly due to the limitations coming from routing congestion and RC delay [1]. One of the ways to address these scaling challenges for technology nodes beyond iN7 is to implement scaling boosters such as High Aspect Ratio (HAR) supervia. Supervia can be defined as a high aspect ratio via that connects two metal layers by-passing the contact with the intermediate metal layer, while the supervia will be self-aligned to the trench hard mask. Benefits of supervia over conventional ‘stacked’ single level vias is reported elsewhere [2].

Primary challenge in supervia patterning includes etching through multi-layer dielectric stack without damaging the limited thickness of available trench hard mask. Continuous plasma exposure to the trench hard mask at the via location may induce corner erosion due to combined effect of radical induced chemical etching and ion induced sputtering. Any such potential damage to the trench hard mask will cause loss of via self-alignment and potential shorts with the neighboring lines. This challenge could be addressed to certain extent by increasing the polymer deposition rate on top of the hard mask during the via etch process, which could act as a potential protective layer to prevent HM erosion. However, this increases the risk of via etch stop, predominantly noticed in isolated structures due to dense-iso etch loading. Furthermore, controlling the via CD and profile while patterning through multi-layer stack at a high aspect ratio (AR >10) is another challenge.

This abstract presents demonstration of 2-level supervia using an EUV via mask to connect metal layers M3- M1 on a BEOL iN5 test vehicle (M3 metal pitch 32nm). Challenges in supervia patterning such as density-dependent etch, CD-dependent etch, maintaining the self-alignment, controlling the via CD and via profile are addressed. Finally, 3-level supervia DD etch process enabling connection between M4 & M1 is demonstrated in an exploratory etch chamber (TEL) which enables supervia with better control over via self-alignment, CD and profile control.

Reference:

[1] V. Vega-Gonzalez et al., IEEE International Electron Devices Meeting (IEDM), 2019, doi: 10.1109/IEDM19573.2019.8993538 [https://ieeexplore.ieee.org/abstract/document/8993538/authors#authors] .

[2 ] V. Vega-Gonzalez et al., IEEE International Electron Devices Meeting (IEDM), 2020, doi: 10.1109/IEDM13553.2020.9372096 [https://ieeexplore.ieee.org/abstract/document/9372096].

View Supplemental Document (pdf)
PS-Contributed On Demand-25 Impacts of Different Carrier Wafers during Cl2 Inductively Coupled Plasma Etching on the GaN Surface and the Al2O3/Gan Interface
Thibaut Meyer, Sarah Boubenia, Camille Petit-Etienne, Bassem Salem, Erwine Pargon (CNRS-LTM, Université Grenoble Alpes, France)

In several decades, the semiconductor industry has witnessed drastic structural changes due to the growing electric power consumption. To satisfy the future requirements, alternative semiconductors are currently investigated to outperform the electrical performances of silicon-based devices. Among those materials, Gallium nitride (GaN) can sustain high voltage and high current in extreme condition, making it an adequate semiconductor for high power electronics.Several approaches have been proposed to develop GaN Metal-Insulator-Conductor High-Electron-Mobility Transistors (MIS-HEMT). In the MIS-HEMT structure, the dielectric/semiconductor interface is a key issue to improve the MIS-HEMT performances. It is known that the semiconductor surface is deteriorated during the etching step. The main guideline is to achieve a damage-free surface and, in this way, to reduce the interface trap density. In typical industrial processes, the mask coverage is such that undesired species (Si, C, O, H) are inevitably introduced in the plasma. Nevertheless, their influences on the plasma properties, the GaN surface and ultimately the Al2O3/GaN interface are not fully addressed.

In the present study, the presence of a mask is simulated by fixing GaN samples on 300 mm wafers (photoresist, thermal SiO2 and LPCVD Si3N4) in an Cl2 Inductively Coupled Plasma. The bias potential was varied from the plasma potential (Vp) to - 200 V, whereas the other plasma parameters were kept unchanged. All investigated carrier wafers react differently, exhibiting significant differences of the etch rates (Fig 1a). The chlorine atoms consumption and the redeposit of etch byproducts affect significantly the GaN etch rate (Fig. 1b), the surface morphology (Fig. 1c) and the surface composition. It is in that context that excited species (Cl, SiClx, C2, H, CCl, N2) were monitored by Optical Emission Spectroscopy (Fig 2). Atomic Force Microscopy was performed after Cl2 etching to evaluate the modification of the surface morphology. In situ X-ray Photoelectron Spectroscopy was implemented to probe the surface composition after the etching (Fig. 3) and after the atomic layer deposited Al2O3. The Al2O3 layer was inserted between a gate metal (Au/Ni) and the etched GaN in order to perform C-V measurements.

When using a SiO2 carrier wafer, a rough surface is associated with the presence of SiOx nonvolatile etch products on the GaN surface. Overall, we note a similar smooth surface with Si, photoresist and Si3N4 carrier wafers. The N/Ga ratio is almost not degraded except with a Si carrier wafer, which induces a strong Ga-depletion regardless of the bias potential. C-V measurements are ongoing to evaluate the impact of the bias and the carrier wafer on the electrical performances of the etched GaN.

Acknowledgments: This research was supported by the French RENATECH network and the Nano 2022 program.

View Supplemental Document (pdf)
PS-Contributed On Demand-28 Wireless Retarding Field Analyzer for Ion Energy Distribution Measurements in Plasma Processes
David Gahan (Impedans Ltd)
Planar retarding field analyzers (RFAs) have been used for decades to measure the ion energy distribution at surfaces during plasma processing. In the early days, RFAs were used mostly in grounded situations. In more recent times, RFA systems suitable for radio-frequency (RF) bias conditions were developed. The typical design consists of the RFA grid stack embedded in a substrate-like carrier. The grid signals are supplied to the RFA (installed in the plasma chamber) from the airside through RF chokes and vacuum feedthrough located at a vacuum port. The RFA carrier is wired to the vacuum feedthrough on the vacuum side. While this type of solution works well for R&D applications, it is not ideal for a production environment (even for off-line maintenance work) due to the wiring and the need to open the chamber for installation.

There is an increasing demand for plasma measurement and control solutions to cope with the growing complexity of integrated circuit manufacture in the semiconductor industry. Production compatible plasma diagnostic instruments are highly desireable. Silicon wafer based wireless sensors, which measure temperature during the process, have gained the most traction with tool manufacturers and device makers. In this presentation we will present a novel wireless wafer probe with integrated RFA, power supplies and measurement circuitry which can be loaded to the process chamber using the robotic transfer mechanism. RFA sensor data is measured and stored in on-board memory where it can be downloaded later for analysis. This technology has the potential for widespread use in the fab environment.

PS-Contributed On Demand-31 Characterisation of N-Based Plasma-Functionalised Microporous Activated Carbon and Macroporous Cordierite Monoliths for Improved CO2 Adsorption
Madhuwanthi Buddhadasa (Université Libre de Bruxelles); Yamid Ali Gómez Rueda, Brieuc Verougstraete (Vrije Universiteit Brussel); Thomas Doneux (Université Libre de Bruxelles); Joeri Denayer (Vrije Universiteit Brussel); François Reniers (Université Libre de Bruxelles)

In the battle against global warming, investigating methods to capture and reduce greenhouse gases such as CO2 has become ever so important in the present time. Due to its many advantages such as solvent-free processing and versatility, plasma techniques are widely being researched for rendering reactive surfaces. In this work, a low-pressure (~80Pa) capacitively coupled RF plasma and an atmospheric-pressure dielectric barrier discharge of ammonia are used to graft nitrogen functionalities onto 3 types of activated carbon (AC) monoliths and a cordierite monolith. The CO2 adsorption capacity of the monoliths is expected to then improve owing to chemisorption via acid-base interactions between the gas-phase CO2 molecules and the plasma-immobilised amine groups on the sample surface[1]. Influence of treatment parameters such as plasma power, chamber pressure and sample position in the reactor on N grafting is studied by x-ray photoelectron spectroscopy and optical emission spectroscopy. A N/(N+C) of up to 20% can be detected on both AC and cordierite samples. The chemical composition of the pristine ACs, mainly the inherent oxygen content most likely originating during the synthesis of ACs, is found to influence the N grafting selectivity. In the monolithic structure, depending on the treatment conditions a differential functionalisation of N is observed inside the channels wherein the N content can be reduced by half compared to that present on the outer surface. The low-pressure RF ammonia plasma visibly consists of two distinct regions, a bright violet zone near the powered electrode and a less bright white zone further away from it. The position of the sample in these two regions exhibit a clear difference in the N content, where less N is grafted in the bright region. An influence of the sample size on the surface chemistry is observed which is also reflected in the emission spectra. Accordingly, important insights into plasma surface modification of 3D geometries are highlighted. CO2 adsorption is characterised by pressure swing adsorption at an isotherm of 30°C. A 27% increase in the adsorption capacity of N-functionalised AC monoliths and at least 20% increase for cordierite monoliths is observed. The adsorption capacity shows a positive dependency on the surface N content. Despite the chemisorption of CO2, a temperature as low as 30°C under deep vacuum is found to be sufficient for a complete regeneration of the AC monoliths facilitating a low energy intensive regeneration process.

[1] Said, R.B., Kolle, J.M., Essalah, K., Tangour, B. and Sayari, A., 2020. A Unified Approach to CO2–Amine Reaction Mechanisms. ACS omega, 5(40), pp.26125-26133.

Acknowledgements: This research is funded by the Brussels Capital Region – Innoviris (Bridge CCC-MEP project)

PS-Contributed On Demand-34 Insights Into the Plasma Catalytic Decomposition of Methane: Role of Atomic O and Surface Species
Yudong Li (University of Maryland College Park); Jingkai Jiang (University of Minnesota); Michael Hinshelwood (University of Maryland College Park); Peter Bruggeman (University of Minnesota); Gottlieb Oehrlein (University of Maryland College Park)

Cold atmospheric pressure plasma generates reactive species that can enhance catalyst-based chemical reactions. Recently, we developed a non-thermal atmospheric pressure plasma jet (APPJ)-catalyst system that enables real-time gas phase and operando surface characterization. This system has been used to study the influence of plasma on the oxidation of CH4 to CO and CO2 over a Ni-SiO2/Al2O3 catalyst. In prior work, the gas phase species and surface intermediates corresponding to different plasma chemistries were investigated. In this work, we studied the mechanism of plasma-catalytic CH4 decomposition by analyzing the correlation between reactive species produced by plasma, gas phase products and surface species. Ar/CH4 gas was injected downstream of the APPJ near the catalyst where it interacted with the O2 gas and reactive oxygen species (ROSs) in the Ar/O2 APPJ effluent. Real-time Fourier-transform infrared spectroscopy was used to quantify the reaction products downstream of the catalyst bed for different conditions. The plasma incident fluxes were measured by mass spectrometry using an identical APPJ operating under the same conditions (although with a substrate containing a sampling orifice in place of a catalyst bed). By varying the plasma feed gas composition, plasma power, and catalyst temperature (25 °C to 500 °C) and correlating the quantified ROSs fluxes with the results of the downstream measurements, we observed a strong correlation of the rates of CH4 consumption, CO and CO2 production with the O atom flux incident on the catalyst. This result implies that atomic O plays an essential role for the catalyst enhanced temperature-dependent oxidation of CH4 to CO and CO2 for our experimental conditions. We also used in-situ diffuse reflectance infrared Fourier transform spectroscopy to analyze the catalyst surface species. We found that the abundance of surface CO follows that of surface CHn with plasma at 25 °C, indicating that the CHn is the precursor species for the formation of surface and gas phase CO. No discernable surface CHn was observed at 500 oC, while surface CO was more pronounced, indicating that the decomposition of CH4 to CHn might be the rate-determining step at 500 oC. The same phenomena of low surface CHn and high surface CO coverage have been observed using an Ar/O2/CH4 plasma jet. However, when CH4 is flown through the APPJ, a more intense reaction of CH4 with oxidizing species is seen, indicating the importance of plasma on the activation of CH4 for the decomposition reaction. We gratefully acknowledge funding from National Science Foundation (CBET-1703211 and CBET-1703439) and US Department of Energy (DE-SC0020232).

PS-Contributed On Demand-37 Plasmonic Nitridation of Silicon Surface via Plasma-Induced Wavelength-Mixed Gold Nanoparticle Excitation
Takeshi Kitajima, Kazuyasu Watanabe, Machiko Miyake, Toshiki Nakano (National Defense Academy, Japan)

Catalytic surface reactions utilizing gold nanoparticle plasmons have been utilized in various applications in recent years.1 We have applied hot electrons supplied from gold nanoparticles to plasma surface reactions to use them to form high-quality ultrathin films.2 We focused on the mixed effect of visible light for plasmon excitation and plasma VUV emission and discovered the effect of green light excitation that promotes radical nitriding.

Au was vapor-deposited on a SiO2 / Si (100) substrate in an ultra-high vacuum chamber with an average thickness of 0.4 nm by electron beam deposition to form Au nanoparticles (C) on the surface. A 30 mTorr N2-inductively coupled plasma was generated in the attached chamber, and the sample was irradiated with N radicals (R) that passed through a 30 line/inch SUS304 single mesh with the configuration shown in Fig. 1 (a) for 5 minutes. A filter and a white LED controlled the wavelength of light (L), and VUV light from N2 plasma was mixed. The reaction condition consisting of the above is RLC. Figure 1 (b) shows the dielectric characteristics of the SiON film {leakage current and EOT (equivalent oxide film thickness) when 1 V is applied}. In green light suitable for Au plasmons, the hot electrons (~ 4 eV) generated by the deexcitation of plasmons enabled the bond conversion from Si-O to Si-N the ultra-thin SiON shows the same characteristics as the thermal oxide film. By mixing VUV, it is possible to increase the film thickness further and reduce leakage. From the above, it is considered that the reaction between the adsorbed N radicals and Si proceeded, and a good quality SiON film was formed by superimposing the photoelectron emission from the VUV light on the hot electron injection from the Au nanoparticles by green light irradiation.

1 C. Clavero, Nat. Photonics 8, 95 (2014).

2 T. Kitajima, M. Miyake, K. Honda, and T. Nakano, J. Appl. Phys. 127, 243302 (2020).

View Supplemental Document (pdf)
PS-Contributed On Demand-40 Low-Temperature Deposition Technology of High-Quality and Low-Stress SiO2 and SiN Films for Photonics Devices Using ECR Plasma
Masamitsu Toramaru, Yoshito Jin (Japan Steel Works, LTD.); koichi Mori, Hironori Torii, Toru Mashita (JSW AFTY Corp.)

Recently, optical modulators using LiNbO3 (LN) or silicon as a substrate and waveguides using SiN films have been actively researched. These light modulators and waveguides require materials that prevent light leakage or absorption to efficiently transport light. Therefore, multilayered substrate of LN/SiO2/LN and LN/SiO2/Si for optical modulators, and substrates for optical waveguides with a SiN film on the upper LN layer of the multilayered substrates are also developed. The SiO2 layer used in an optical modulator is a buffer layer to confine the propagation light to the waveguide. It requires a thickness of several µm and a large refractive index difference from the waveguide material to prevent light leakage in the waveguide. The SiN layer for the waveguide also requires a thickness of hundreds of nm with low optical absorption. However, conventional PECVD has poor reactivity and cannot deposit a high-quality film without external substrate heating. Therefore, we focused on ECR (electron cyclotron resonance) plasma technology to deposit thick SiO2 and SiN. They are high-density plasmas generated by resonating microwaves and a magnetic field, and they are characterized by high reactivity in a low-temperature process, with minimal damage from low-energy plasma stream irradiation and minimal contamination by electrodeless discharge.

ECR sputtering systems have been used for edge-emitting lasers and surface-emitting lasers, for which high density, flatness and excellent optical properties are required, such as a semiconductor laser AR/HR coating. On the other hand, sputtering systems have poor productivity for µm-order film thicknesses due to their slow deposition rate and high film stress caused by high-quality film.

In order to deposit high-density and low-stress SiO2 and SiN films with µm-order thicknesses without heating, an ECR-CVD system using ECR plasma for CVD was developed. Then, SiN films using SiH4 and N2 as a nitriding source and SiO2 films using O2 as an oxidant were deposited by our ECR-CVD system. In the SiN deposition process using N2 instead of conventional NH3, it is possible to deposit high-quality SiN films that have less hydrogen and thus can be applied as a waveguide. High-quality SiN and SiO2 films with refractive indices similar to those of ECR sputtering are obtained at a 200 nm/min deposition rate without substrate heating.

In this presentation, we show that high-quality and low-stress films of SiN and SiO2 films for photonics devices can be obtained by ECR-CVD, and we report on composite substrates prepared by applying the ECR plasma technology.

View Supplemental Document (pdf)
PS-Contributed On Demand-43 Automatic Etching-Recipe Optimization in Si Etching with Self-Aligned Quadruple Patterning Masks for Productivity Enhancement by Transfer Learning
Naoto Takano, Hyakka Nakada, Takeshi Ohmori (Hitachi, Ltd. Research & Development Group)

In semiconductor manufacturing, an etching process with extremely high precision is required because nanometer-order shifts in etching profiles can cause serious problems in the electrical characteristics of the devices. One of the causes of such shifts is a change of the etching chamber stemming from, for example, deterioration of the internal parts over time, replacement of the deteriorated parts with new parts, or replacement of some or all of the parts to upgrade the chamber. So far, to correct such shifts, proficient engineers have reconsidered etching recipes (the control parameters in etchers) on the basis of etching results obtained before and after the chamber changes. However, due to increasingly complicated device structures and the miniaturization of their critical dimensions, the number of parameters in recipes for state-of-the-art devices has increased. Therefore, recipe optimization has become much more difficult. Since there is a shortage of proficient engineers who have the ability to optimize recipes, a decline in manufacturing productivity is inevitable.

To help engineers optimize recipes, we developed a method to automatically optimize recipes by using transfer learning. In this method, first, a regression model between recipes and etching profiles is trained by using former data, namely, etching data obtained before the change of the chamber. Next, the regression model undertakes additional training by transfer learning with small amount of latter data, namely, etching data obtained after the change in the chamber Finally, the optimal recipe is predicted by the regression model that learns both the former and the latter data.

In this study, we applied our method to recipe optimization for Si trenches with 12.5-nm-wide Self-Aligned Quadruple Patterning (SAQP) masks. We used an etcher that had previously had its hardware remodeled to improve its exhaust performance. Due to the hardware remodeling, the etch rate decreased by about 20%. To re-optimize the recipe after the remodeling, we used etching data obtained before and after the remodeling as former and latter data by transfer learning. As a result, we succeeded in obtaining a predicted recipe to achieve almost the same etch rate and etching profile of 12.5-nm-wide Si trenches with an aspect ratio of 10 as before the remodeling. Furthermore, the amount of latter data needed for the recipe prediction was less than 1/3 of that in the supervised-learning based method [1]. Therefore, when the chamber configuration is changed, our method is expected to achieve productivity enhancement.

[1] H. Nakada, et al., International Symposium on Dry Process (2019).

PS-Contributed On Demand-49 Design of Organosilicon Nano-Membrane at Atmospheric Pressure With a Glow Discharge and New Applications for Electrochemical Devices
Jacopo Profili (CHU de Québec-Université Laval Research Centre); Maxime Beauchemin, Steeve Rousselot (Université de Montréal, Canada); Ludvik Martinu (Polytechnique Montréal); Mickael Dollé, Luc Stafford (Université de Montréal, Canada)
In the last few years, researchers around the world have focused on the development of more “eco-friendly” products and sustainable processes. Plasma treatments at atmospheric pressure have gained interest because of their low consumption of chemicals, the dry polymerization mechanisms, as well as the possibility to develop new exotic chemical functionalities on surfaces and interfaces. Although several articles have been written on plasma polymers and their applications, their synthesis on more exotic materials – such as green composites or natural porous substrates – have been only studied by a few authors in the last years. We recently demonstrated how the micro- and nano-porosity can control the physic of the discharge and thus the breakdown mechanisms. Different fragmentation mechanisms and growth modes have also been highlighted depending on the porosity.
These findings have recently been used to control the synthesis of an organosilicon nanomembrane for the modification of “green” composite electrodes used in aqueous batteries.
Here, we report the properties of an organosilicon (SiOxCyHz) thin membrane, obtained by atmospheric pressure dielectric barrier discharge (AP-DBD). The plasma has been used to control the electrochemical stability of a composite layer made from carboxymethyl-cellulose, carbon black and Lithium-based nanoparticles. The synthesized nano-membrane is preventing the electrode loss of electronic percolation and increasing the electro-chemical stability over a prolonged number of cycles in low concentrated aqueous electrolytes. In this study, the physico-chemical properties and electrochemical performance of such electrodes were investigated. The porosity of the nano-membrane has been used to control the diffusion of ions, and limits the natural degradation created by the water molecules inside the electrode. Different organicity levels (Si-CHx band to Si-O-Si in-phase asymmetric stretching band, AS1, ratios) and matrix disorder (AS1 to Si-O-Si out-of-phase asymmetric stretching band, AS2, ratio) have been compared. The results indicate that the plasma membrane sustains numerous cycling without affecting the electro-chemical activity. This suggests a reduced degradation of the plasma layer despite the volume variation of the electrodes during the charging/discharge process. To better understand this phenomenon, the mechanical of the organic silicon thin layer obtained in similar conditions at atmospheric pressure has been studied. Adhesion, tribological and mechanical properties were assessed by nanoscratch and depth-sensing indentation measurements. The results suggest compressive stress in the bulk, and energy uptake during depositions. This feature is ascribed to low-energy ion irradiation and surface de-excitation of metastable atoms in the AP-DBD.
PS-Contributed On Demand-52 Optimization Process for the Fabrication of Ultra-Low Loss PECVD Silicon Nitride-on-Insulator Waveguides
Yannick Bleu, Camille Petit-Etienne, Laurene Youssef (Univ. Grenoble Alpes, CNRS, CEA/LETI-Minatec, Grenoble INP, LTM); Jonathan Faugier-Tovar, Quentin Wilmart (Univ. Grenoble Alpes, CEA, LETI); Erwine Pargon (Univ. Grenoble Alpes, CNRS, CEA/LETI-Minatec, Grenoble INP, LTM)

Low-loss silicon nitride (SiN) thin-film is very appealing because it opens possibilities for multi-layer photonic chip fabrication and hybrid integration of optical waveguides [1]. Up to now, the most common low-temperature deposition technique for SiN is plasma-enhanced chemical vapor deposition (PECVD). However, such SiN thin-films usually present significant optical losses at telecommunication wavelengths because of bulk absorption losses due to the presence of significant NH bonds in the SiN materials [2, 3] and scattering losses due to the top and sidewalls roughness [4] of the SiN waveguides after plasma patterning. In this work, we propose to optimize the fabrication process flow of PECVD SiN waveguides in order to achieve ultra-low loss waveguides (<0.5dB/cm). Plasma processes using fluorocarbon plasma chemistries have been optimized to minimize the sidewalls roughness of waveguides during the patterning of two Si-rich SiN materials, with different initial optical indexes, surface roughness, and NH content. Moreover, annealing treatments have been investigated to reduce the hydrogen content. Preliminary results show that the annealing treatments decrease significantly the NH content, while these SiN materials exhibit higher sidewalls roughness after the plasma etching process. Therefore, the plasma process improvement is still going on to reach better sidewalls roughness of the waveguide.

[1] Su et al., Adv. Mater. Technol. 2020, 5, 1901153

[2] E. A. Douglas, et al., Opt. Mater. Express 6(9), 2892–2903 (2016)

[3] S. Mao, et al., Opt. Express 16(25), 20809–20816 (2008)

[4] C. Bellegarde, et al., IEEE Photonic Techno. Letter 30, (7) 591-594 (2018)

This research was supported by the French RENATECH network, the French National Research Agency (ANR) under the “Investissements d’avenir” program: ANR 10-AIRT-0005 (IRT NANOELEC), and the Nano 2022 program.

PS-Contributed On Demand-55 RF Hollow Cathode Discharge: Particle-in-Cell/Monte Carlo Simulation
Kallol Bera, Xiaopu Li, Abhishek Verma, Sathya Ganta, Shahid Rauf (Applied Materials, Inc.)

Radio-frequency (RF) hollow cathode discharge (HCD) plays an important role as a plasma source for material processing in the semiconductor industry. Hollow cathode systems typically consist of an array of small hollow cylindrical holes on the cathode. The plasma in the hollow cavities can become more intense due to the hollow cathode effect (HCE) under certain conditions. A single hollow cathode hole is modeled using Particle-in-Cell/Monte Carlo simulation. In this model, using charge density of particles, Poisson equation is solved for electric potential, which yields the electric field. Using this electric field, all charged particles’ velocities are updated and the particles are moved. This PIC code considers particle collisions with each other and with neutral fluid using a Monte Carlo model. Statistics of these collisions are used to determine how electron energy is dissipated in the plasma. We have explored the effect of gas pressure, RF voltage and frequency as well as secondary electron emission on plasma density in the RF HCD using this model. At higher pressure, plasma penetrates inside the hole, leading to HCE enhancement. At high RF voltage, plasma density enhancement is limited as plasma spreads over larger volume. The secondary electron emission as well as RF sheath heating play important role in electron power deposition. To better understand the role of secondary electrons, we have explored different secondary electron emission coefficients at various RF frequencies. In order to determine the collective behavior of an array of hollow cathode holes, a reduced order model based on a neural network is developed utilizing the detailed PIC modeling results. Preliminary results using this reduced order model are presented.

PS-Contributed On Demand-61 Sheath Model for Electromagnetic Simulation of Capacitively Coupled Plasma
Xiaopu Li, Abhishek Verma, Sathya Ganta, Kallol Bera, Shahid Rauf (Applied Materials, Inc.)

Capacitively Coupled Plasmas (CCP) are commonly used in semiconductor manufacturing. Electromagnetic (EM) field and power distribution are generally studied in practical reactors assuming bulk plasma as a lossy media with complex conductivity. Since plasma sheath plays an essential role in plasma heating and power deposition, it is critical to incorporate sheath model to capture accurate EM response of CCP reactors. A simple sheath model includes a nonlinear distributed circuit consisting of a capacitor, a diode and a current source. The circuit components are self-consistently solved based on assumptions of Lieberman [1] or Metze, Ernie and Oskam (MEO) [2]. In this study, a simplified CCP reactor is simulated using a FDTD solver coupled to the MEO sheath model. The resulted EM field distribution shows multiple harmonics due to the nonlinearity of the sheath. An electrical asymmetry is achieved using unequal electrode areas or modulated waveform. The reactor impedance is calculated from lumped voltage and current, and is compared to the result of a fluid-based plasma model. Additionally, a reduced order sheath model is studied numerically from full-scale plasma model, which provides a promising way to handle realistic CCP reactors.

1. MA Lieberman, IEEE Trans. Plasma Sci. 16 (6) 638-644 (1988)
2. A Metze et al, J. Appl. Phys. 60 (9) 3081-3087 (1986)
PS-Contributed On Demand-64 Characterization of Reversed Arc Hydrocarbon Plasma in Material Synthesis
Vladimir Gorokhovsky (Nano-Product Engineering, LLC, Univ. of Colorado)

The reversed arc plasma-enhanced PECVD reactor utilizes the reversed arc discharge conducted between the remote anode positioned in the high-pressure plasma processing compartment connected to the plasma-creating gas supply line and the primary cathode positioned in the low-pressure compartment connected to the pumping system. The anodic plasma processing compartment was separated from the primary arc compartment by the diaphragm with the small orifice. The high-current reversed arc discharge was generated in a mixture of hydrogen and hydrocarbons with argon at moderate pressures ranging from 1 to 100 Torr in compositions typical in the synthesis of diamonds and related materials. The effect of gas pressure on the current-voltage characteristics of the reversed arc discharge was studied at different plasma-creating gas mixtures. The electrically floated substrates such as pieces of Si wafers were positioned either on the surface of the flat remote anode disk, separated from the anode by sapphire wafer, or, alternatively, were suspended within the current-carrier arc plasma column on thin, high-temperature dielectric cable. The temperature of the substrate was measured either by thermocouples or by IR pyrometer. In the spectrum of Ar-H2-CH4 reversed arc plasma column in the wavelength range of 400-620 nm there are CH, C2, and H2 molecular bands, in addition to Hβ, Hγ, and Hδ lines of atomic hydrogen. The dissociation degree of molecular hydrogen was estimated using the optical actinometry method from intensity ratio I/IArI of the Hα and ArI 750 nm lines, showing the dissociation degree of hydrogen in the arc plasma is about 15-20%. The reversed arc plasma column and its interaction with substrates were modeled in an axially-symmetrical one fluid, one temperature approximation using commercial COMSOL FEM software. The dissociation of the hydrogen across the discharge tube was calculated by the thermal dissociation model, based on the advection-diffusion-reaction (ADR) equation for atomic hydrogen produced by Ar or H2 impact and lost in three-body recombination, and via LTE calculation. The results of the comparison of hydrogen dissociation degree across the arc column demonstrate a high degree of dissociation of molecular hydrogen in reversed arc plasma in reasonably good agreement both with experimental findings and between two modeling approaches. The results obtained on the interaction of reversed arc plasma with substrates suspended in the arc column were applied to the description of the dusty reversed arc plasma consisting of spherical particles uniformly distributed across the reactor channel. It was found that the energy effectiveness of the treatment of micropowder in reversed arc plasma can exceed 90%.

View Supplemental Document (pdf)
PS-Contributed On Demand-67 Molecular Analysis of Plasma-Induced Germination Improvement of Rice Seeds With High-Temperature Stress Damage
Kazunori Koga, Yushi Ishibashi, Chetphilin Suriyasak, Takamasa Okumura, Hayate Tanaka, Pankaj Attri, Kayo Matsuo, Daisuke Yamashita, Naho Itagaki, Kunihiro Kamataki, Masaharu Shiratani (Kyushu University, Japan)
High-temperature stress damage during the ear ripening of rice (Oryza sativa L.) due to global warming is an important issue of the food crisis. The seeds of rice that experienced high temperatures around above 30 oC from flowering to harvest show delayed germination. Improvement of germination characteristics is an important issue. So far, we have found atmospheric pressure dielectric barrier discharge (DBD) plasma irradiation to seeds promotes germination and growth and increases yield [1,2]. Here, we report plasma-induced germination improvement of rice seeds with high-temperature stress damage.
In the experiment, we employed japonica rice seeds that were harvested under a high-temperature condition of 30 oC from flowering to harvest periods. The harvest year was 2017. They were stored in a freezer at -30 oC for 3 years after their harvest. They were left at room temperature for 1 day before plasma irradiation, and then plasma irradiation was performed using a scalable DBD device [1]. 100 seeds were placed in the area of 4x4 cm2 under the center of the discharge electrode, and the gap between the electrode and the seed was 3 mm. The discharge voltage was 7.0 kVpp. The plasma irradiation was carried out at room ambient temperature and the humidity of 40-60% rh. To avoid temperature rise of seeds during plasma irradiation, 10 s plasma ON and 50 s plasma OFF were repeated 18 times. The total discharge time was 3 min.
We measured 5 sets of germination curves of 30 seeds. The germination rate of seeds with high-temperature damage was 25% at 72 hours after the start of water uptake, whereas that of plasma-irradiated seeds with high-temperature damage improved to 40%. We also measured gene expression of OsAmy1C in a gene group of alpha-amylase which hydrolyze the starch in the endosperm into sugars during seed germination [3]. The variation in the expression of OsAmy1C corresponds to the germination characteristics.
Work supported partly by JSPS KAKENHI JP 20H01893 and JP19H05462.
[1] S. Kitazaki, et al., Curr. Appl. Phys., 14 (2014) S149.
[2] K. Koga, et al., Appl. Phys. Express, 9 (2016) 016201.
[3] M. Kaneko, et al., Plant Physol. 128 (2004) 1264.
PS-Contributed On Demand-70 As-grown Crystalline β-Ga2O3 Films Obtained at Low Temperatures via in Situ Atomic Layer-by-layer Plasma Processing
Saidjafarzoda Ilhom, Adnan Mohammad, Deepa Shukla, John Grasso, Brian G. Willis, Ali Kemal Okyay, Necmi Biyikli (University of Connecticut)

Wide bandgap (WBG) semiconductors, such as GaN and SiC make the backbone of high-power high-frequency electronic devices, such as in smart vehicles and 5th generation (5G) communication technology. However, production complexity and high-cost of these materials make such technologies less widespread. Gallium oxide (Ga2O3) is an emerging WBG semiconductor recently attracting great research attention due to its superior electrical properties. To compete against the mature WBG materials which are grown typically at > 1000 °C, a substantially lower temperature deposition technique for crystalline Ga2O3 is critical particularly for its integration with temperature-sensitive substrates or devices. Here, we report on the low-temperature growth of crystalline Ga2O3 films on Si, sapphire, glass, and Kapton substrates via hollow-cathode plasma-assisted atomic layer deposition (ALD). Films were deposited using triethylgallium (TEG) and Ar/O2 plasma as metal precursor and oxygen co-reactant, respectively. Growth experiments have been performed at 150 – 240 °C substrate temperature and 30 – 200 W rf-power range. Additionally, each unit AB-type ALD-cycle was followed by an in situ Ar-plasma annealing treatment, which consisted of Ar-plasma exposure for 20 seconds varied over 50 – 300 W rf-power. The thickness of the films without Ar-annealing stage, ranged between 20.74 – 39.30 nm and as-grown refractive indices were between 1.75 - 1.67 within the scanned plasma power range. XRD showed that Ga2O3 films grown without in situ plasma annealing exhibited amorphous character irrespective of both substrate temperature and rf-power. Though, with the incorporation of the in situ Ar-annealing step the thickness of the films ranged between 22.9 – 31.4 nm with refractive indices of 1.75 – 1.79. The increased refractive index (1.79) and reduced thickness gain (31.4 nm) at 250 W Ar-annealing power indicates possible densification and crystallization of the films. Indeed, XRD and XRR confirmed that in situ Ar-plasma treated films grow in a monoclinic β-Ga2O3 crystal phase with further improving crystallinity and film density (from 5.07 to 5.60 g/cm3) with increasing Ar-annealing plasma power. X-ray photoelectron spectroscopy study of the β-Ga2O3sample grown under optimal in situ plasma annealing power (250 W) revealed near-ideal film stoichiometry (O/Ga of ~1.44) with relatively low carbon content (~5 at. %); whereas, 50 W rf-power treated film was highly non-stoichiometric (O/Ga of ~2.31) with considerably elevated carbon content. Our work demonstrates the effectiveness of in situ Ar-plasma annealing process to transform amorphous WBG oxide semiconductors into crystalline films without needing high-temperature post-deposition annealing treatments, which are detrimental to low-temperature sensitive substrates.

View Supplemental Document (pdf)
PS-Contributed On Demand-73 Computational Optimization and Reduced Order Modeling of Plasma Chemistry in Fluid Plasma Models
Sathya S Ganta, Xiaopu Li, Kallol Bera, Shahid Rauf (Applied Materials, Inc.)
Fluid plasma modeling enables us to accurately capture the plasma behavior in capacitively and inductively coupled plasma chambers in low to moderately high pressure (>30 milli Torr) regime. Once validated with measurements, these models can be used to optimize the chamber design and process conditions required to obtain the desired fluxes and energies to the wafer which decide process output. Therefore, these models are vital to the semiconductor processing industry. In applications where the plasma chemistry constitutes a large number of chemical reactions and/or species, the computational cost of fluid simulation can be prohibitively high. In this paper, we present an effective methodology to optimize the plasma chemistry by removing the unwanted chemical reactions and species without losing model accuracy. The unwanted chemical reactions and species are specific to a given range of process parameters (pressure, power, electrode spacing, etc.) and are identified using sensitivity analysis. The methodology also enables us to develop reduced order plasma chemistry models with varying degrees of accuracy by analyzing reaction pathways before and after optimization. The optimized reduced order chemistry model is utilized for faster computation of the fluxes and energies to the wafer. These reduced order models can be vital tools to perform efficient mixed-fidelity optimization of process conditions over the range of design and process parameters.
PS-Contributed On Demand-76 Fluorocarbon Plasma Erosion Behavior of Heraeus Black Quartz
Mark Stamminger (Heraeus Quarzglas GmbH & Co. KG); Katharina Noesges, Thomas Mussenbrock (Ruhr University Bochum); Andreas Goetzendorfer, Bastian Weisenseel (Heraeus Quarzglas GmbH & Co. KG)

A composite material, Heraeus Black Quartz (HBQ), composed of a silica glass matrix embedded with silicon microparticles, has applications in semiconductor processing systems due to its unique thermal properties and its chemical compatibility with the ultrapure environments used. A potential future application could include use in low-temperature plasma etching reactors. In this work, the fluorocarbon plasma erosion behavior of HBQ materials containing up to 20% silicon by weight was studied in a commercial inductively-coupled plasma reactor at typical processing pressures of 1 to 3 Pa, and for a range of CF4/Ar ratios. In general, it was found that the silicon microparticles were etched out faster than the surrounding glass matrix. Under these plasma conditions, the overall erosion resistance of the composite HBQ materials was found to be somewhat lower than that of pure silica glass, but substantially higher than that of pure silicon. In order to better understand the internal plasma conditions prevailing during the experiments, the plasma reactor was modelled with the Hybrid Plasma Equipment Model (HPEM) [1]. Fluxes of relevant chemical species such as neutral fluorine atoms or positive ions such as CF3+ or Ar+ to the sample surfaces were determined from the model. Lower chamber pressures lead to higher positive ion and fluorine atom fluxes in the model, which contribute to higher erosion rates of both the silicon microparticles and the silica glass matrix. At higher pressures or higher CF4 contents of the plasma, the plasma model shows a higher ratio of fluorine atom flux to positive ion flux toward the sample surfaces, which condition favors etching of the silicon microparticles over the silica glass matrix. These results on the erosion behavior of Heraeus Black Quartz are useful for plasma etching systems designers in choosing appropriate materials for chamber components.

[1] S. Huang, C. Huard, S. Shim, S. K. Nam, I.-C. Song, S. Lu and M. J. Kushner, "Plasma Etching of High Aspect Ratio Features in SiO2 Using Ar/C4F8/O2 Mixtures: A Computational Investigation", J. Vac. Sci. Technol. A 37, 031304 (2019)

PS-Contributed On Demand-79 Surface and Plasma Characterization of a Self-Limited Two Step Etch Process for SiN Spacer Etching Applications
Nicolas A. Loubet (LTM-CNRS); Cécile Jenny (STMicroelectronics); Camille Petit-Etienne, Erwine Pargon (LTM-CNRS)
Highly selective and anisotropic silicon nitride spacer etching can be achieved through a self-limited two-step process introduced in recent years. The first step is an anisotropic modification via light ion implantation, typically helium or hydrogen, done by a capacitive plasma (CCP) discharge. It is followed by a selective removal performed by exposure to a remote NF3/NH3/He plasma discharge (RP), which consumes the surface by formation of a (NH4)2SiF6 salt layer. The two steps are performed in the same reactor and can thus be cycled1. By monitoring the silicon nitride thickness via in situ kinetic ellipsometry during the RP process it has been shown that the etching starts after an incubation time on non-implanted (vertical) surface. On implanted surfaces, several implant types have been investigated (H2, O2, N2, NH3, He) and the results show that only H-based and He plasma during the first step suppress this incubation time, suggesting that a specific surface state favor neutrals adsorption and the subsequent etching during the RP process. This etch activation not only offers a process window with high selectivity between implanted and non-implanted but also highlights that the surface/plasma interaction are driving the etch during the second step. The Surface state after the first step was characterized using several techniques including: Time of flight secondary ion mass spectroscopy (Tof-SiMS), X-ray Photoelectron spectroscopy (XPS) and X-ray reflectometry (XRR). In order to further the understanding of the etch mechanisms and plasma/surface interactions, plasma characterizations techniques were performed. The Energy distribution and chemical nature of the ions involved in the modification step were studied using Retarding field energy analyzer (RFEA). Using Vacuum ultraviolet absorption spectroscopy (VUVAS), the neutral species present in the remote plasma discharge can be identified and quantified2. Among NF3 and NH3, the presence of HF was detected and could be a potential precursor to the salt formation. Analyzing the gas phase composition for different NF3/NH3 ratios showed that different regime of HF production exits. Those results will be correlated to the etch kinetics of silicon nitride, silicon oxide and silicon obtained by in-situ ellipsometry. A deeper understanding of the gas phase composition and etch activation mechanisms allows to tune the two steps in order to increase selectivity and ultimately optimize the process.

References:

1: J. Appl. Phys. 126, 243301 (2019)

2: J. Vac. Sci. Technol. A 38, 043002 (2020)
PS-Contributed On Demand-82 Molecular Dynamics Study on Multi-Steps Plasma-Assisted Atomic Layer Etching of Silicon Nitride
Jomar Tercero (University of the Philippines); Akiko Hirata (Sony Semiconductor Solutions Corporation); Michiro Isobe (Osaka University, Japan); Masagana Fukasawa (Sony Semiconductor Solutions Corporation); Magdaleno Jr. Vasquez (University of the Philippines); Satoshi Hamaguchi (Osaka University, Japan)

Atomic-scale precision and control are essential in the etching processes used for the manufacturing of sub-10nm-scale advanced semiconductor devices [1-3]. For such etching processes, the industry normally employs plasma-based processing techniques to achieve near-atomic layer removal of surface materials with low damage to the underlying surface. This process is known as the plasma-assisted atomic layer etching (ALE). A proper understanding of ALE is crucial to develop a well-controlled method to obtain a good selectivity, uniformity, and directionality of such etching processes. Recently, it has been reported that ALE of silicon nitride (SiN) with alternating adsorption of hydrofluorocarbon (HFC) radicals and desorption via Ar+ ion bombardment induces an etch stop. The etch stop is caused by the accumulation of C atoms on the surface that an Ar+ ion-based desorption step cannot completely remove [4]. To solve this problem, a multi-step ALE process was proposed to achieve a stable SiN ALE by combining SiN/SiO2 ALE. This was performed by introducing O2 plasma irradiation to remove the remaining carbon layer completely [5]. However, as a result, surface oxidation forms a SiO2 layer, which may be removed by SiO2 ALE, which consists of the adsorption step of CxFy radicals and the subsequent desorption step of the fluorocarbon modified layer by Ar+ bombardment. With this approach, SiN ALE can be achieved. In this study, molecular dynamics (MD) simulations were used to analyze the multi-step ALE of SiN ALE with an irradiation step of O radicals to remove the excess carbon layer formed during the hydrofluorocarbon desorption step.

References

[1] G. S. Oehrlein, D. Metzler, and C. Li, ECS Journal of Solid State Science and Technology, 4 (6) N5041-N5053 (2015).

[2] K. J. Kanarik,T. Lill, E. A. Hudson, S. Sriraman, S. Tan, J. Marks, V. Vahedi, and R. A. Gottscho, Journal of Vacuum Science & Technology A, 33, 020802 (2015).

[3] G. S. Oehrlein and S. Hamaguchi, Plasma Sources Sci. Technol. 27, 023001 (2018).

[4] A. Hirata, M. Fukasawa, K. Kugimiya, K. Nagaoka, K. Karahashi, S. Hamaguchi, and H. Iwamoto, Journal of Vacuum Science & Technology A, 38, 062601 (2020).

[5] T. Tsutsumi, H. Kondo, and M. Hori, J. Vac. Sci. Technol. A 35, 01A103 (2017).

PS-Contributed On Demand-85 Two-Dimensional Particle-in-Cell Modeling of Low Pressure, High Voltage Capacitively Coupled Ar Plasmas
Amanda Lietz (Sandia National Laboratories); Peng Tian, Jason Kenney, Shahid Rauf (Applied Materials, Inc.); Matthew Hopkins (Sandia National Laboratories)

Multi-frequency capacitively coupled discharges with relatively low pressure (mTorr) and high bias voltage (kV) are currently of interest for high aspect ratio etching. Unfortunately, this regime poses significant challenges for computational modeling. At these low pressures, fluid models are no longer valid, and a fully kinetic model is required. At these high bias voltages, the high electron velocities severely limit the simulation timestep. As a result, most of the computational studies in this regime have focused on one-dimensional simulations. In this study, two-dimensional simulations of a capacitively coupled reactor with Cartesian symmetry were performed for an Ar plasma at 10 mTorr with bias voltages exceeding 1 kV applied at 2 MHz. The plasma is generated by 2 kV applied at 40 MHz. Aleph, a massively-parallel particle-in-cell direct simulation Monte Carlo code was used to address this problem with a fully kinetic approach. The plasma dynamics, including the plasma density and sheath profile at various pressures, and the resulting ion energy and angular distributions are discussed.

SNL’s PRF is supported by DOE SC FES. SNL is managed and operated by NTESS under DOE NNSA contract DE-NA0003525.

PS-Contributed On Demand-88 Modeling Capacitively Coupled Plasmas With Nanosecond Pulsed Bias Voltages
Amanda Lietz (Sandia National Laboratories); James Prager (Eagle Harbor Technologies); Matthew Hopkins (Sandia National Laboratories)

Custom waveforms in capacitively coupled plasma reactors may provide increased control of ion energy and angular distributions. This improved control could enable improvements in etch rate and feature quality, especially in high aspect ratio etching. New developments in high voltage pulsers are now making it feasible to apply short high voltage pulses with peak power that is sufficient for modern etching reactors. In this study, we model the effects of 100 ns voltage pulses up to 5 kV on plasma dynamics and the resulting ion energy and angular distributions. Aleph, a massively-parallel particle-in-cell direct simulation Monte Carlo simulation tool, was used to model an Ar plasma in one-dimensional simulations. A standard sinusoidal voltage was applied to one electrode, and custom voltage pulses were applied to the other electrode. The resulting plasma and sheath dynamics, as well as their effect on the ion energy distributions are discussed. The results are compared with a standard sinusoidal voltage.

SNL is managed and operated by NTESS under DOE NNSA contract DE-NA0003525.

PS-Contributed On Demand-91 Microplasma-Assisted Atomic Layer Deposition and Etching Free Patterning of Ga2O3 Film with Enhanced DUV Photoresponse
Jinhong Kim, Andrey E Micronov (University of Illinois at Urbana Champaign); Dane J Sievers (University of Illinois Urbana Champaign); Sung-jin Park (University of Illinois at Urbana Champaign); J. Gary Eden (University of illinois Urbana Champaign)

Gallium oxide (Ga2O3) thin film has been deposited on the silicon substrate by arrays of microcavity plasmas enhanced atomic layer deposition (MALD) using trimethylgallium and oxygen plasma. Due to the complete reaction between precursors, the gallium and oxygenstoichiometric ratio for amorphous Ga2O3 films has been measured by EDX and RBS to be ~ 1.48 ± 0.2, demonstrating that negligible levels of impurities and oxygen vacancies exist in the films. The optical transmittance of amorphous Ga2O3 and β-Ga2O3 thin films measured by Varian Cary 5000 UV-VIS spectrophotometer show ~ 95% and 100% transmittance in a range of ~ 250 nm to 500 nm, respectively. The crystallinity of β-Ga2Othin film after post-annealing with a rapid thermal anneal (RTA) system was investigated by X-ray diffraction (XRD) and transmission electron microscope (TEM). Based on the Tauc law calculation, the optical bandgap of Ga2O3 thin film was calculated to be ~ 4.9eV, corresponding to 254 nm illumination. As a proof-of-concept experiment, deep-ultraviolet (DUV) photodetector with metal-semiconductor-metal (MSM) structure was fabricated using MALD for Ga2O3 film deposition and metal contact (Ni/Au) was deposited by E-beam evaporator. Amorphous Ga2O3 ­grown at 300 K exhibited higher responsivity at 254 nm wavelength. Owing to the unique characteristic of MALD, the patterning of Ga2O3 films with conventional photoresist and lift-off processing is now a viable option without additional etching process. MALD patterning process introduced here eliminates the need for plasma etching (such as reactive ion processes) and its concomitant damage to sensitive materials. The dark current of DUV photodetector was ~ 10-9 A, indicating minimized leakage current from Ga2O3 film. The photocurrent with a plateau surface detector was ~ 10-6 A and it was increased to ~ 5×10-6 A with the periodic stipe/square-patterned interface due to the increased surface volume ratio. The demonstrated ability of MALD to use the plasma source with fragile materials affords the opportunity to leverage well-developed photoresists and lift-off techniques in that patterning films and reducing fabrication steps for layered structures. The unique properties of microcavity plasmas and the confinement of the microplasma electric field allows for nonequilibrium concentrations of radicals and molecular species to interrupt on the substrate in an environment that is essentially field-free and, therefore, exempt from damage arising from ion impact at the substrate.

PS-Contributed On Demand-94 A Mechanistic Approach to Tune Plasma Sintering Parameters for Enhancing Connectivity of Printed Nanoparticles
Nazli Turan, Mortaza Saeidi-Javash , Yanliang Zhang, David Go (University of Notre Dame)

Recent developments in additive manufacturing have enabled printing of colloidal nanoparticles for diverse technologies, including energy conversion and storage, sensing, and electronics. Atmospheric pressure, ambient temperature plasmas have become a promising candidate for material processing because they eliminate needs for pressurizing and heating equipment while providing energetic and reactive species that can initiate surface modifications (e.g. sintering) at a plasma-surface interface, including thin film nanoparticle assemblies, enhancing material properties (e.g. conductivity) in a non-destructive and functional way. Here, we propose a mechanistic approach to plasma jet sintering that correlates plasma parameters (e.g. power and flow rate) with the activation energy barrier for surface diffusion, which we identify as the dominant mass transport path leading to a densified structure. We demonstrate an electrical conductivity as high as 1.1×106 S/m (2% of bulk silver conductivity) for printed silver films on glass sintered at atmospheric conditions in which the surface temperature stays below 50 °C. Based on the analysis of specific energy input to argon and helium atoms, we calculated an energy barrier of 1.2 eV that is required to be overcome prior to sintering. These results highlight a future direction where additive manufacturing of electronic devices can be achieved on low-melting point materials at ambient conditions and provide a way that we can tune the plasma parameters to trigger effective mass transport between surface particles.

PS-Contributed On Demand-97 Molecular Beam Mass Spectrometry to Measure Absolute Densities of Ions, Vibrationally and Electronically Excited Species in Atmospheric Pressure Plasmas
Jingkai Jiang, Yolanda Aranda Gonzalvo, Peter Bruggeman (University of Minnesota)

Molecular beam mass spectrometry (MBMS) is widely used as a diagnostic method in plasma processing with the ability to detect a large range of different species and to measure species fluxes or densities at a substrate [1]. The latter enables directly linking the obtained fluxes with plasma-surface interaction studies. In this work, we extended the capability of MBMS by developing detection and calibration approaches for the absolute measurement of singlet delta oxygen, O2(a1Δg) the first electronically-excited state of O2, vibrationally-excited nitrogen N2(v), as well as the absolute density of ions. The measurements are performed in an atmospheric pressure plasma jet (APPJ) operating in Ar with admixtures of O2 and N2.

The MBMS measurements of O2(a1Δg) showed that O2(a1Δg) is the dominant reactive species in the effluent of an atmospheric pressure plasma jet (APPJ) [2]. The ability to measure axial and radial profiles of O2(a1Δg) impinging on a substrate in the effluent of the APPJ is a key advantage of the MBMS diagnostic method over well-established optical diagnostics. The large flux of O2(a1Δg) has been directly linked to the effective inactivation of virus by this APPJ.

The spatially resolved measurements of N2(v) in the effluent of an APPJ were enabled by fitting the mass spectrometry signals with the electron-impact ionization cross sections of N2(v) as a function of electron energy, assuming a Treanor-like vibrational distribution function. The approach provides a complementary diagnostic technique for detecting N2(v) near substrates with excellent spatial resolution and detection limits, and also shows that RF-driven plasmas can produce large fluxes of vibrationally-excited nitrogen that is reported to be important in plasma catalysis.

Absolute densities of positive ions in the effluents of an APPJ were obtained through calibration with a dc corona discharge with a well-known current density profile [3]. Positive ion densities in the effluent of the APPJ were found to be more than 4 orders of magnitudes lower than the densities of the dominant reactive neutral species (O, O2(a1Δg), O3) in the afterglow region suggesting that plasma-surface interactions in this case are dominantly due to neutral radical interactions.

These results are examples of extended diagnostic capability in atmospheric pressure plasma that have a large potential to enable a better understanding of plasma-surface interactions.

This work was supported by the National Science Foundation (CBET 1703439) and US Department of Energy, Office of Fusion Energy Sciences (DESC0001939 and DE-SC0020232).

Reference

[1] Benedikt et al. J. Phys. D. Appl. Phys.2012, 45 (40), 403001.

[2] Jiang et al. Plasma Sources Sci. Technol.2020, 29 (4), 045023.

[3] Jones et al. J. Phys. D. Appl. Phys.1990, 23 (5), 542–552.

PS-Contributed On Demand-100 EUV Induced Formation of Hydrogen Plasmas at Low Pressure
Tugba Piskin (University of Michigan); Hyunjae Lee, Sang Ki Nam (Samsung Electronics Co., Inc.); Mark J. Kushner (University of Michigan)

The continuing decrease in feature size in microelectronics processing has produced a progressive decrease in the wavelengths for photolithography. The recent deployment of Extreme Ultra-Violet (EUV) lithography systems with photon wavelengths of 13.5 nm are intended to enable feature sizes to below 10 nm. One method to produce EUV photon beams is to ablate and ionize tin droplets with pulsed lasers.A drawback of this method is that tin vapor and ions contaminate the chamber and can damage the collector mirrors. By filling the chamber with low-pressure H2 gas that does not significantly absorb the EUV photons, a low density plasma is produced by the EUV photon flux that dissociates and ionizes hydrogen.Tin deposits on optics are then etched by H atoms and ions producing stannane (SnH4), which can be pumped away [1]. The EUV photon energy (92 eV) is far in excess of the ionization and dissociation thresholds of H2, which results in energetic photo-electrons and, through the Franck-Condon effect, energetic dissociation fragments.There is a careful balance that is required to produce sufficient H atoms to clean the optics of Sn deposits, while not having energetic ions that might damage the surfaces of the collector and mirror.

In this paper, we discuss results from a computational investigation of the plasma formation that occurs by EUV photon beams (13.5 nm, 92 eV) passing through low pressure H2.This investigation was performed using the Hybrid Plasma Equipment Model (HPEM) which was modified to capture the heating of electrons and ions from photoionization reactions and to produce hydrogen plasma formation only from photon beams. The outcomes of the simulation are the densities of radicals, ions, and excited species, and their fluxes (including energy-and-angular distributions) to the chamber walls. For pressures of a few to tens Pa, and EUV powers of tens to hundreds Watts, the dominant ion is typically H3+ while an electron temperature of 4-5 eV produces a plasma potential of up to 20 V.This produces ion energies onto surfaces of 15 – 20 eV.A large density of vibrationally excited H2 enables a significant production of negative hydrogen ions.The consequences of pulse repetition frequency, pressure and photon intensity on plasma properties will be discussed.

[1] J. Beckers et al., Appl. Sci., 9, 2827 (2019).

Work supported by Samsung Electronics Co. and the National Science Foundation (PHY-2009219).

PS-Contributed On Demand-103 Molecular Dynamics Simulation of Oxide-Nitride Layer Etching by Fluorocarbon Plasmas
Charisse Marie Cagomoc, Michiro Isobe, Satoshi Hamaguchi (Osaka University, Japan); Eric Hudson (Lam Research Corporation)
Due to the development of technologies that require heavy data usage, the demand for 3D NAND devices with better efficiency and larger memory capacity is still not ceasing. 3D NAND devices are founded upon stacking of alternating oxide-nitride or oxide-silicon layers. The higher the number of stacked layers, the higher the storage capacity becomes. In this study, we have performed molecular dynamics (MD) simulation of reactive ion etching of silicon dioxide (SiO2) and silicon nitride (Si3N4) bilayer by CF3+ ions, which represent typical reactive ions from fluorocarbon plasma. A 5nm-thick SiO2 layer was placed on top of a Si3N4 layer and bombarded by CF3+ ions with an energy ranging from 200eV to 2000eV, which can reveal the reaction mechanism as etching transitions from SiO2 to Si3N4. It is found that, for 200eV to 1000eV, the change in depth of the substrate as a function of the ion dosage shows two distinct slopes as the etching passes through the SiO2-Si3N4 interface. Though the difference in the slopes becomes less apparent as energy increases, this shows that a 5nm-thick SiO2 layer could still be treated as a separate substrate by ions with energies up to 1000eV. However, at 2000eV, the penetration depth of the ion reaches up to 6nm, which is thicker than the initial SiO2 thickness. At this energy, the etch rates of SiO2 and Si3N4 are nearly the same. It is also found that, for 200eV to 1000eV, the amount of carbon left on the SiO2 layer during the etching process increases rapidly as the SiO2 etching transitions to Si3N4 etching. This was not observed at 2000eV as the formation of a thick damaged layer creates a mixed SiON material whose depth is comparable with those of SiO2 and Si3N4 (i.e., ONO) layers of the 3D NAND structure. View Supplemental Document (pdf)
PS-Contributed On Demand-106 Improving the Hydrophilic Properties of Pet Textiles Using Atmospheric Dbd and RF Plasma Torch
Annaelle Demaude (Université libre de Bruxelles, Belgium); Rosanna Inturri (Fidia Pharma); Cristina Satriano (University of Catania, Italy); Perrine Leroy (IONICS Surface Technologies); François Reniers (Université libre de Bruxelles, Belgium)

The textile industry is constantly searching for rapid ways to improve the properties of textile surfaces. For example, polyester fabrics made of poly(ethylene terephthalate) (PET) are widely used in the clothing field and in the biomedical field (respiratory masks, wounds dressings). However, they are very hydrophobic and may display an important amount of static charges at their surface, generating discomfort in wear, but also making them difficult to dye, clean or coat1,2.

In the hydrophilization of textiles surfaces, plasma technologies represent a simple and eco-friendly alternative to the traditional alkaline soda process that consume a lot of chemicals, water and energy3,4. Plasmas can generate the oxidation and etching of textile fibers, increasing their surface energy, hence their wettability and adhesion to other materials. Originally developed and used in this field at low pressure5, they now tend to be performed at atmospheric pressure to avoid the use of pumping systems, and make them implementable in continuous on-line productions6,7.

The present work aims at contributing to a better understanding and control of the reaction mechanisms involved in the hydrophilization of textiles by atmospheric plasmas. In particular, the use of a dielectric barrier discharge (DBD) and a RF plasma torch was investigated for the modification ofPET fabric surface properties. Different plasma parameters such as the addition of reactive gases to the discharge or the exposure time were varied, and the resulting wettability of the treated textile was studied by the means of water contact angles (WCA) measurements and wicking tests. Surface composition of the fabric was also examined by X-ray Photoelectron Spectroscopy (XPS). It was found that a complete wicking of PET textile could be obtained after 20 s of Ar plasma torch treatment, and only 10 s when adding O2, and after 30 s of Ar DBD treatment. These results could be associated to the plasma functionalization and etching phenomena.

The authors would like to thank theFund for Scientific Research (F.R.S.–FNRS), and the Walloon Region and the M-EraNet (SmartHyCAR project n°1610176) for their financial support.

(1) Kan, C. W. et al. Nucl. Instrum. Methods Phys. Res. Sect. B Beam Interact. Mater. At.2008, 266 (1).

(2) Kowalski, J. M. et al. Fibres Text. East. Eur.2006, Nr 5 (59).

(3) Zeronian, S. H. et al. Surface Modification of Polyester by Alkaline Treatments. Text. Prog.1989, 20 (2), 1–26.

(4) Pasquet, V. et al.J. Clean. Prod.2014, 65, 551–560.

(5) Wakida, T. et al. Sen-i Gakkaish. 1986, 42, T-69.

(6) Leroux, F. J. et al. Adhes. Sci. Technol.2006, 20 (9), 939–957.

(7) Kan, C. W. et al. Surf. Coat. Technol.2013, 228, S607–S610.

PS-Contributed On Demand-109 One-Step Synthesis of Chemically Patterned Thin Films via Immobilization of Plasma Filaments in an AP-DBD
Annaëlle Demaude (Université libre de Bruxelles, Belgium); Kitty Baert (Vrije Universiteit Brussel); David Petitjean, Erik Goormaghtigh (Université Libre de Bruxelles); Tom Hauffman (Vrije Universiteit Brussel); Michael Gordon (University of California Santa Barbara); François Reniers (Université Libre de Bruxelles)

The ability to locally modify surfaces chemistry and/or topography at sub-millimeter scales is needed in a broad range of fields such as biology, microfluidics, liquid transport, electronics, and photonics1–4. Methods to synthetize such surfaces are numerous, but generally involve many steps and/or require low pressure environments5–7 (layer deposition with masks, lift-off processes, mold to substrate imprinting, ion beams, etc.). Among them, plasma-based technologies, and more particularly, dielectric barrier discharges at atmospheric pressure (AP-DBD) are attractive tools because of their ability to etch or deposit thin films over large areas at scale without complex vacuum systems.

Here, we demonstrate how chemically and topographically patterned thin films can be synthesized by immobilizing filaments in a DBD discharge operating with an organic precursor/Ar mixture. Using different precursors, under different burst modes, and on different substrates (silicon wafer, glass, and aluminum), the stationary filaments led to different patterns. In each case, thicker circular areas of sub-mm diameter (aka ‘spots’) were visible where filaments were ignited on the substrate. Profilometry revealed different thickness, roughness, size and shape of the spots, depending on the plasma parameters, which ultimately depend on the distribution of surface discharges generated around the filaments, as observed with high-speed imaging. XPS and IR analysis also highlighted differences in the molecular structure/composition of spots versus areas between them. This could, in great part, explain the different wettability patterns obtained when using propargyl methacrylate as a precursor (hydrophilic spots with hydrophobic surrounding area or vice versa). Overall, this work demonstrates a new, simple path to locally tune the chemistry and topography of surfaces using atmospheric pressure DBD discharges.

(1) Corbin, E. A. et al. Lab. Chip2014, 14 (8), 1401–1404.

(2) Tian, D. et al. Chem. Soc. Rev.2013, 42 (12), 5184.

(3) Xing, S. et al. Lab. Chip2011, 11 (21), 3642–3648.

(4) Park, S. et al. Nature2018, 561 (7724), 516–521.

(5) Qin, D. et al. Nat. Protoc.2010, 5 (3), 491–502.

(6) George, A. et al. J. Mater. Chem.2012, 22 (2), 328–332.

(7) Liimatainen, V. et al. Small2016, 12 (14), 1847–1853.

PS-Contributed On Demand-112 Control of the process environment for HfO-based RRAM device formation
Hiroyuki Miyazoe (IBM T.J. Watson Research Center); Devi Koty (TEL Technology Center, America, LLC); Hongwen Yan, Nanbo Gong, Marinus Hopstaken, Eduard Cartier, John Ott (IBM T.J. Watson Research Center); Qingyun Yang, Aelan Mosden (TEL Technology Center, America, LLC); Takashi Ando, Sebastian Engelmann, Eric Joseph (IBM T.J. Watson Research Center)
Resistive random access memory (RRAM) have been widely considered as a next-generation nonvolatile memory and analog computing applications due to fast write and read access, low power consumption and process compatibility to the conventional CMOS technologies [i,ii]. Oxygen vacancies in metal oxide (MO) film are considered as a driving force for HfO-based RRAM switching [iii]. Therefore, the control of oxygen in the device, and its process chemistry are critical for the performance of RRAM. In this work, we evaluated the control of the process environment for RRAM device formation using low temperature diffusion plasmas. First, we evaluated different etch methodologies and chemistries for HfO using continuous plasma and gas cyclic etching. We observed different RRAM size dependence in switching resistance with the devices smaller than 1um, suggesting controlled sidewall damage on top metal electrode during HfO etch. Second, we compared O2-containing and O2-free hydrofluorocarbon etch processes with similar etch rate and with similar selectivity to SiOx, poly-Si and spin-on organic under layer. Exposure of O2 containing plasma to the top electrode of RRAM resulted in the increase of the device forming voltage, while O2 free plasma chemistry did not show a significant change. Damage-free SiN etching may be attributed to a good control of the plasma etch chemistry and to the low-damaging nature of the diffusion plasma. These process learnings envision the ability and controllability of RRAM as the next-generation devices.

[1] H.-S. P. Wong, Proc. IEEE (2012).

[1] N. Gong, Nature Communications, 2018.

[1] G. Bersuker, J. Appl. Phys. (2011).

PS-Contributed On Demand-115 III-V/Ge Heterostructure Plasma Etching and Passivation With a Single Plasma Process for Low-Damage Multijunction Solar Cell Fabrication
Mathieu de Lafontaine, Erwine Pargon, Guillaume Gay, Camille Petit-Etienne (Laboratoire des Technologies de la Microelectronique, CNRS-LTM, France); Jean-Paul Barnes, Névine Rochat (CEA, LETI, MINATEC Campus, France); Maïte Volatier, Abdelatif Jaouad, Simon Fafard, Vincent Aimez, Maxime Darnon (Laboratoire Nanotechnologies Nanosystèmes (LN2) - CNRS UMI-3463 Institut Interdisciplinaire d′Innovation Technologique (3IT), Université de Sherbrooke)

Over the past few years, plasma etching processes were the object of a growing interest for multijunction solar cell fabrication. They are used to etch mesas to electrically isolate one cell from another but also to plasma-dice the cells from the wafer. More recently, plasma etching was also used to pattern via-hole on multijunction heterostructures in order to fabricate solar cells with through cell via contacts. This architecture aims to increase the multijunction solar cell efficiency by 3% and the power yield per wafer by 20% by transferring the front side contact to the backside using insulated and metallized vias. For all these applications, the task is challenging as dozens of epitaxial layers must be anisotropically etched with low roughness and minimal damage to ensure optimal cell performance. In this abstract, a plasma process is proposed to both etch anisotropically the III-V/Ge heterostructure while passivating the etched sidewall in order to maximize the cell efficiency.

The epiwafers consist of a III-V heterostructure (InGaP, (In)GaAs, GaAs, AlInP, AlGaAs, AlGaInP layers and quantum dots) epitaxially grown on Ge substrate. The etching processes consist of a SiCl4/H2 plasma performed in an inductively coupled plasma reactor at high bias power. The etch morphology, the sidewall composition and the cell performance were all studied as a function of the hydrogen fraction in the plasma (0%, up to 67% of the total gas flow). Cross-section SEM images show that a selective sidewall erosion occurs since some III-V etching subproducts are more volatile than others. Increasing the H2 flow enhances the atomic chlorine scavenging in the plasma which decreases the chemical etching. FIB-STEM characterizations show that no sidewall erosion is obtained when a high H2 flow is used (67%). TEM-EDX measurements show that a 50nm-thick SiOxCly layer is deposited on the sidewall which helps limiting the sidewall erosion and ensure anisotropic etching. ToF-SIMS measurements show that hydrogen atoms were incorporated into the III-V/Ge sidewall and its concentration increases with the H2 flow. Cathodoluminescence measurements were performed on the etched sidewall as well. In the vicinity of the top sub-cell, the etched sidewall presents a higher luminescence intensity and it is even larger with increasing H2 flow. These results show that adding H2 to the plasma mixture allows hydrogen incorporation into the sidewall which passivates the non-radiative defects. As a result, both the open-circuit voltage and the fill factor of the solar cell are higher with increasing H2 flow, resulting in a higher cell efficiency.

PS-Contributed On Demand-118 Process-induced Damage in GST Etch
Luxherta Buzi, John Papalia, Hiroyuki Miyazoe (IBM); Huai-Yu Cheng (Macronix International Co); Marinus Hopstaken, Robert Bruce, Sebastian Engelmann (IBM)

As the semiconductor industry continues to push for and develop higher performance computing systems, there is also a growing trend of developing new computing approaches to be more energy efficient. Switching of Phase Change Memory (PCM) material between crystalline and amorphous phase with electrical pulses and optical properties make it an important candidate for storage class memory and neuromorphic computing. These PCM materials (e.g., GeSbTe and its derivatives) can be damaged during plasma etch processing leading to defects such as void formation, grain coarsening, selective material depletion, etc. In addition, the etch damage of PCM materials can affect device performance (e.g., switching times). Optimization of etch process and chemistry in minimizing or eliminating structural or compositional damage is crucial for success of this new device technology.

Experiments were performed on thin GST films to reveal the effects of chemistry and the impact of different plasma configurations on recrystallization properties and damage formation. No significant difference in damage formation was found for different reactor configurations in Ar plasma (ICP, MW, IBE), however the effect of UV/VUV irradiation and other chemistry effects have to be more extensively studied with alternative reactor configurations. Using halogen chemistries, enhanced damage was observed for different reactor configurations (ICP vs. MW). Here, the depth profiling analysis showed a correlation between the enhanced damage formation and selective elemental depletion and plasma residue retention in the near surface region.Chlorine plasma had the highest etch rate and increased damage formation compared to HBr and CF4.

PS-Contributed On Demand-121 Double Curling Probe Method for in-situ Monitoring of Electron Density and Film Thickness for Application
Daisuke Ogawa, Hideo Sugai, Keiji Nakamura (Chubu University)

Knowing plasma parameters, such as electron density and temperature etc., is a key to increase reproducibility in material processing. There are numerous techniques to measure these parameters, along with their advantages and disadvantages. The numerous techniques are necessary because it is always a good idea to make these parameters crosschecked with other techniques to increase the reliability of the values.Curling probe is one of the diagnostic tools that utilizes resonance in microwave range with a curl-shaped slot antenna.The probe deals with the plasma as a dielectric material.The probe enables the measurement of the electron density in plasma from the shift of the resonant frequency: the degree of the shift depends on the electron density. However, material processing sometimes requires a film deposition, which affects the measurement with the probe. The deposited film can be a dielectric material so that the film lets the frequency shift as well.It means that such a deposition process allows shifting the frequency both due to plasma and due to film deposition.Therefore, a curling probe can be available in a situation where the effect of film deposition is neglectable.

To overcome the measurement of electron density in the situation where film deposits, we have recently developed the technique with the use of two curling probes (D. Ogawa et al., PSST, accepted on Feb. 2020).This technique allows separating the summation of the frequency shifts with the use of the probe character, where a frequency shift is dependent on the probe diameter.Our preliminary result shows that the new technique successfully derives the electron density and film thickness at the same time.However, note that we obtained this result in a simulated situation when the generation of the plasma was with argon gas, and the deposited film was as polyimide tape.This result was good enough for the preliminary data and to practically prove the theoretical approach, but it is still far from an application in actual processing.It means that there are still some works that need to get done, for example, measurement with a complex gas, and even with a reactive gas used for depositions.In this presentation, we will report some updates on this technique for application in the production line.

PS-Contributed On Demand-124 Low Energy, High Flux Density Ion Assisted E-Beam Evaporation Using a Tunable and Robust Rf Plasma Ion Source
Michael Reilly, Ravindranath Viswan, David Douglass (Denton Vacuum, LLC)

Ion assisted deposition (IAD) using e-ebeam evaporation is a well-known deposition method commonly used for optical films. One of the advantages is moisture stability of the films’ optical properties.However, many materials are not suitable for IAD as the typical ion sources used provide either a high flux of energetic ions above the material dissociation energy, or a low flux of low energy ions insufficient to densify the optical films.

Denton Vacuum’s patented Endeavour RF plasma ion source has the capability for independent control of ion current density and ion energies over a wide range. The ion current density can be controlled by the RF power applied to the RF coil, and the ion energies can be controlled using a DC voltage applied to an electrode, biased positively with respect to a grounded single grid. This combination enables a high flux of ions with tunable ion energy.We demonstrate this tunability in both moisture shift control and stress tunability. Moisture shift in HfO2, Al2O3, and SiO2 thin films with no ion-assisted deposition was 0.95%, 0.23% and 0.47% respectively. By varying the ion energies from about 50 eV to 300 eV, the moisture shift could be reduced to 0.19%, 0.17% and 0.04% for HfO2, Al2O3, and SiO2 respectively. Intermediate moisture shifts were obtained at lower ion energies, indicating that the source is suitable for applications demanding low energy/high flux ion assisted deposition (IAD).

In addition, the stress could be tuned for example, in Al2O3 films from 29 MPa (tensile) to 2.23 MPa (compressive) by increasing the ion energy from 0 eV to about 100 eV. More importantly, since the ion energy and ion flux can be independently controlled, the source can be operated at low ion energies with a high ion flux and in regimes not accessible to most standard ion sources used for IAD. This ion source is also robust and requires very low maintenance and is suitable for a variety of applications such as ion beam deposition, as well as for pre-clean and etching.

View Supplemental Document (pdf)
PS-Contributed On Demand-127 Etch Behavior of Post-Copper Metals
John Arnold (IBM Research Division, Albany, NY); Nicholas Joy (TEL Technology Center, America, LLC); Hiro Miyazoe (IBM Research Division, T.J. Watson Research Center); Chanro Park, Andrew Simon (IBM Research Division, Albany, NY); Cyril Cabral, Hongwen Yan, Franco Stellari (IBM Research Division, T.J. Watson Research Center); Sophia Rogalskyj (TEL Technology Center, America, LLC); Emma Richardson (TEL Technology Center of America); Angelique Raley (TEL Technology Center, America, LLC); Sebastian Engelmann (IBM Research Division, T.J. Watson Research Center)

For more than two decades and through approximately ten technology nodes, the semiconductor industry has relied upon dual Damascene copper interconnects.While there is vigorous debate as to the timing and dimensions of the transition, it has become clear that there will eventually be a need to replace copper with a different conductor metal. Motivations include copper’s requirement for space-consuming diffusion barriers and the contributions of interfacial electron scattering to higher resistance at smaller dimensions. Researchers such as D. Gall1 have proposed a range of candidate conductor metals, generally with a focus on the projected scaling behavior (i.e., resistance vs dimension) of ideal materials.

In this study, we examine the practical implications of conductor metal selection and the metal’s processing, with a specific focus on those metals which are able and likely to be patterned by subtractive methods. For example, it is recognized that lower sheet film resistivity is generally favored by deposition or annealing processes which result in larger grains within the metal film, but the effect of grain size on parameters such as Line Edge Roughness (LER) and line height variation must be taken into consideration. Additionally, a material’s ability to withstand downstream processing and our ability to etch it with the desired profile may influence its competitiveness as a copper replacement. We will explore the interactions of material choice, deposition technique, seed layers, annealing, etch processes, and downstream processing on final interconnect performance.

References

1. Gall, D. , “Metals for low resistivity interconnects,” IITC 2018

PS-Contributed On Demand-130 Radical Flux Control using a Dual Exhaust System during Reactive Ion Beam Etching (RIBE) Process
Doo San Kim, Yun Jong Jang, Ye Eun Kim, Hong Seong Gil, Geun Young Yeom (Sungkyunkwan University)
The radicals generated during the reactive ion etching (RIE) process are electrically uncontrollable and cause isotropic etching and chemical damage to the etched pattern sidewalls. For this reason, precise etching control is difficult in a nanoscale pattern of 10 nm or less, and there is a problem of deterioration of device characteristics due to chemical damage. In this study, a reactive ion beam etching (RIBE) system was used to study the effect of relative fluxes of reactive ions and radicals reaching the substrate during RIE processes. To control the relative flux between ions and radicals to the substrate, a dual exhaust system is introduced into the RIBE system to control the amount of radicals generated in the plasma. It is used to control the flux of radicals and ions to the substrate during the RIE process using CF4 gas. The results showed that the additional exhausting of the radicals through the ICP source chamber not only decreased the ICP source chamber pressure but also decreased the ratio of radical flux to ion flux to the substrate. Therefore, the fine pattern etched with the RIBE system with the dual exhaust system reduced the sidewall etch of the pattern by radical reduction.
PS-Contributed On Demand-133 Inactivation of Human Coronavirus in Circulating Air Flows Using a Multielectrode Dbd Setup
Annaelle Demaude, Delphine Merche, David Petitjean, Manon Depessemier, Eric Silberberg, Anne Op De Beeck, François Reniers (Université libre de Bruxelles, Belgium)

The COVID pandemic stimulated research aiming at reducing viruses transmission between humans. Medical data, as well as modelling revealed that transmission is highly increased in interior of buildings. To reduce viruses concentrations in the air, many tools have been proposed, some of them showing an efficiency. In this paper, we designed a model multielectrode DBD dedicated to be placed in tubing systems used for air circulation or recycling. The gap between the electrodes was calculated to allow lighting up the plasma in air at moderate voltages. The whole DBD setup was placed in a closed container allowing to collect remaining virus particles.

A spray system able to inject continuous amounts of microdroplets in air was placed on top of the DBD setup. The whole setup was place in a dedicated hood, with a safety level of 2.

Solutions containing HuCOV229E viruses (human coronavirus 229E) were then sprayed in the middle of the DBD setup.

Variable injection speeds were tested in the range of a few hundred µl/min. An air DBD plasma was lighted using a AFS sinusoidal generator operating at 29.4 kHz with our without pulsing. The treatment time was fixed at 90 sec (spray time and plasma time). The plasma power was varied between 20 and 50 W. Careful control of the reactor and electrode temperature was performed in order to avoid that the virus inactivation was due to temperature effects. The viral solution was collected downstream.

After the treatment, the reactor and the electrodes were rinsed to collect possible virus remaining and this was added to the main collected sample.The collected viral solution was then titrated to determine the remaining viral activity on cells. Every experiment was replicated 3 times. A significant decrease of viral activity (Log 2 decrease) was obtained in specific conditions. Future possibilities and development of such setup will be discussed.

Acknowledgments : This work is funded by the University of Brussels (special COVID fund), and the National fund for research -FNRS (PER project). E.S. is now at CRM group.

PS-Contributed On Demand-136 Insertion Characteristics of Plasma Nitrided Suture Needle in Long Incision
Takao Yamauchi (Meijo University Graduate School); Petros Abraha (Meijo University)

Austenitic stainless steels are biocompatible materials that have high corrosion resistance, suitable for suture needles. The austenitic stainless steel material, on the other hand, has a lower hardness that makes it difficult to maintain the sharpness of the needle tip and secure the shape of the suture needle resulting in a shorter life span. In this study, we performed plasma nitriding treatment, a hardening process, to strengthen the stainless steel surgical suture needles and suppress needle deformation in long incisions.

In recent years, various researchers have suggested ideas on maintaining the sharpness and improving the durability by coating suture needles with silicone. Others have indicated reducing insertion force by improving friction characteristics with metallic glass coating. However, coating the needles changes the sharpness of the needle tip, and risks peeling off during use.

In this experiment, low-energy plasma was used to treat the suture needles to maintain the surface roughness by suppressing the edge effect.Moreover, the diffusion-based hardening method was used to secure the shape, and avoid dimensional changes and the danger of delamination. Here, suture needles with different nitrided layer thicknesses were used to evaluate the insertion characteristics in long incisions. The results show that the deformation of the plasma nitrided suture needles was reduced to one-third that of the untreated needle after 30 sutures. In this presentation, we will show the results of the performance of the suture needles treated by our biocompatible plasma nitriding system.

PS-Contributed On Demand-139 Investigation of CHM Etching with Additive Gas
Kathryn Maier, Lucas Kovatch, Yohei Ishii (Hitachi High Technologies America Inc.)

Over the many years of device scaling, integration has become more and more complicated. As a consequence, the number of etching processes as well as other manufacturing steps have increased tremendously. Hard-mask (HM) structure, which consists of photoresist mask/SiO-like layer/carbon-hard mask (CHM)1, is one of the structures frequently used for patterning. In order to successfully transfer the HM pattern into the following layer, understanding the etching behavior of CHM is a critical factor, especially for CHM etching characteristics and their interactions with other films in relation to the etched profile.

In this investigation, we evaluated the temperature behavior of the CHM etch with some gas addition. We found that etch rate decreases with increasing temperature. This etch rate trend can be changed with or without the additive gas. It is found that oxide layer formation plays an important role in the behavior, which may or may not be observed depending on the temperature, even with the additive gas included in the process. We will reveal the correlation between the temperature and the gas flow during the presentation, with the support of surface analysis.

During the CHM etch, selectivity against upper or underneath layer, such as SiO-like or SiN-like layer, needs to be taken into account so as to etch CHM vertically. The use of the additive gas is utilized to obtain the high selectivity. In this presentation, we also analyzed the selectivity mechanism against oxide and nitride. The analysis shows that oxide layer formation on the oxide and nitride enhances the selectivity. It is shown that the oxide layer is slightly different from SiO2 layer, which is confirmed by surface analysis.

[1]. K. Kikutani et. al., Jpn. J. Appl. Phys. 47, 8026 (2008)

PS-Contributed On Demand-142 Effect of Synchronously and Asynchronously Pulsed Ar/Cl2 Inductively Coupled Plasmas on Si Trench Formation
Heeju Kim, Geunyoung Yeom, Long Wen, jongwoo hong, Wonjun Jang, Soo Namgoong (Sungkyunkwan University (SKKU))

Traditionally, continuous wave (CW) plasma etching has been used for the fabrication of semiconductor devices. However, due to the several issues such as plasma induced damage (PID), charging issues, aspect ratio dependent etching (ARDE), etc., pulsed plasma etching technologies are applied and getting more attention for nano-scale device fabrications to solve problems of conventional CW plasma etch processes.

In this work, we investigated the nanometer scale poly Si trench etch processing using variously pulsed Ar / Cl2 inductively coupled plasmas such as synchronized pulsing, asynchronous pulsing, etc. The differences in the etching characteristics and plasma characteristics of CW, synchronous, and asynchronous ICP plasmas have been investigated. It has been found that, compared to CW plasmas, synchronously pulsed plasmas showed better etch profiles and lower ARDE effect. Especially, the further decrease of ARDE effect was observed with asynchronously pulsed plasmas compared to synchronously pulsed plasmas even though the etch rate has been decreased. To understand the mechanism of etch etching by variously pulsed plasmas, plasmas have been characterized with high voltage probes, time resolved OES, RGA, etc. Also, the XPS measurement has been performed to understand chemical reactions on the etched material surfaces.

PS-Contributed On Demand-145 Two-Dimensional Particle-in-Cell Simulation for Spatial Nonuniformity of Ion Energy and Angle Distributions in Dual-Frequency Capacitively Coupled Ar Plasmas
Ji Hyun Shin, Chang Ho Kim, Geon Woo Park, Hwan Ho Kim, Hae June Lee (Pusan National University)
Dual-frequency (DF) capacitively coupled plasmas (CCP) are commonly utilized in semiconductor etching and deposition processing because of their excellent spatial uniformity and easy control of ion energy. With a dual-frequency, the ion energy and the ion flux are separately controllable for the high-frequency (HF) and the low-frequency (LF) voltage waveforms, which are faster and slower than the ion transit time individually. A two-dimensional particle-in-cell simulation parallelized with a graphics processing unit made it possible to overcome the heavy computation load in DF CCP simulation. In this presentation, we report the asymmetry electrode effect on the spatial uniformity of the ion energy and angle distributions of Ar CCPs under DF driving conditions. The plasma potential and the ratio of the ion power loss to the electron power loss increases with LF power. Despite the spatial nonuniformity of plasma density, the ion flux toward the wafer is uniform when the upper electrode is longer than the lower electrode beneath the wafer. However, time-dependent analysis of ion energy and angle distributions (IEADFs) shows that nonuniformity still exists for the angle distributions. View Supplemental Document (pdf)
PS-Contributed On Demand-148 Plasma Induced Disproportionation of Nitrogen in a DC Plasma-Electrolysis System Operated in N2 at Atmospheric Pressure
Cédric Pattyn, Nicolas Maira, Antoine Remy, François Reniers (Université libre de Bruxelles, Belgium)

Since the beginning of the twentieth century, the Haber-Bosch process has been used for the fixation of nitrogen through the synthesis of NH3, an essential precursor for the production of fertilizers. However, despite being extensively optimised, it accounts for more than 1% of global carbon dioxide emission and the global energy consumption [1]. Furthermore, its dependence on hydrocarbon precursors (mainly from fossil origins) for the production of H2 via steam reforming highly compromises its future involvement in a sustainable society [2]. Over the past few years, plasma-based processes have attracted more attention as a “clean” alternative to Haber-Bosch for nitrogen fixation. This is due to the unique properties of non-equilibrium plasmas which could allow a drastic reduction of the energy cost for nitrogen fixation while being compatible with renewable precursors and energy sources.

In this study, a DC plasma-electrolysis system is used for the simultaneous oxidation (NOx) and reduction (NH3) of nitrogen, using a setup that has already demonstrated a significant interest for the selective synthesis of NO3- [3]. The discharge, ignited in pure N2 between a stainless steel needle and the solution (1mM of NaCl) is used as a cathode electrode while a platinum wire immersed in the liquid of is used as an anode electrode. The solution is analyzed by means of ionic chromatography and UV-Vis spectrophotometry while the plasma/gas phase is analyzed using optical emission spectroscopy and electrochemical sensors.

Experiments highlight that ammonia is formed mainly in the gas phase through multiple pathways which benefit from hydrogen evolution reaction at the plasma-liquid interface. Interestingly, lowering the plasma-electrolysis current down to 1mA proved to allow the reduction of the energy cost for nitrogen fixation (down to 61.1MJ/mol) while enhancing the transfer of nitrogen compounds from the gas phase to the liquid phase (in the form of stable secondary species such as NO2-, NO3- or NH4+). This results from both an enhancement of the non-equilibrium properties of the discharge, allowing a higher vibrational excitation of N2, and a more efficient conversion of nitrogen compounds to species with a higher solubility (in particular regarding the oxidation of nitrogen). The (artificial) enhancement of the water vapor content in the gas mixtures has lead to further improvement of the vibrational excitation of N2 and lowering of the energy cost while preserving a somewhat similar selectivity of the nitrogen compounds produced.

The authors would like to thank the financial support of the FWO-FNRS (Belgium) Excellence of Science NITROPLASM project (EoS ID30505023)

[1] Capdevilla-Cortada Nat Catal2, 1055 (2019)

[2] Tuna, P., et al. Environ. Prog. Sustain. Energy33, 12905 (2014)

[3] Pattyn, C., et al. Phys. Chem. Chem. Phys.22, 24801 (2020)

PS-Contributed On Demand-151 The Role of Plasma Properties in Plasma Enhanced Atomic Layer Epitaxy
Scott Walton, David Boris, Virginia Wheeler, Neeraj Nepal (Naval Research Laboratory); Samantha Rosenberg, Jason Avila, Jeffrey Woodward, Virginia Anderson (ASEE); Chip Eddy, Jr. (Naval Research Laboratory)
Plasma-enhanced atomic layer deposition (PE-ALD) is a low temperature, conformal, layer-by-layer deposition technique that is based on a pair of self-terminating and self-limiting gas-surface half-reactions, in which at least one half-reaction involves species from a plasma. This approach generally offers the benefit of substantially reduced growth temperatures and greater flexibility in tailoring the gas-phase chemistry to produce films of varying characteristics. The advantages come at the cost of a complex array of process variables that often require great care on the part of the user. We employ plasma diagnostics to inform the choice of process conditions for PE-ALD systems including VUV-NIR spectroscopy, charged particle collectors near the substrate, and spatially resolved Langmuir probe measurements to characterize the plasma used in a commercial PE-ALD tool (Fiji 200; Ultratech/CNT). In particular, we assess the total ion flux reaching the substrate surface, spatial variation of plasma properties, and the relative fractions of atomic and molecular species generated in the plasma under a variety of pressures and gas input flow fractions typically employed to grow nitride and oxide films. Changes in plasma parameters are then linked with changes in the characteristics of films including AlN, InN, TiO2 and Ga2O3. This work is partially supported by the Office of Naval Research and the Naval Research Laboratory base program.
PS-Contributed On Demand-154 Area Selective Plasma Enhanced Chemical Vapor Deposition of Silicon Using a Fluorinated Precursor
Ghewa Akiki (LPICM-CNRS, Ecole Polytechnique, Institut Polytechnique de Paris, France); Sergej Filonovich (TOTAL GRP, France); Muriel Bouttemy, Mathieu Fregnaux (Institut Lavoisier de Versailles, UMR CNRS 8180, Université de Versailles-St-Quentin, France); Ileana Florea, Pavel Bulkin, Erik Johnson (LPICM-CNRS, Ecole Polytechnique, Institut Polytechnique de Paris, France)

Area-selective deposition(ASD) is a process that controls where the deposition takes place through the underlying surface rather than through any masking step. This can be achieved by either Atomic Layer Deposition (ALD) or Chemical Vapor Deposition (CVD) techniques [1]. In previous work, we studied area selective plasma enhanced CVD (PECVD) using an Ar/SiF4/H2 plasma chemistry [2]. For specific plasma parameters, a microcrystalline silicon film is selectively grown on a SiOxNy area while the AlOx adjacent area remains pristine (see figure 1). This effect was then attributed to the formation of Al-F bonds that blocks the deposition of silicon on top of the AlOx area [3].

However, when the plasma conditions are changed or when those two materials are patterned using lithography, the selectivity is lost. Each case will be discussed and presented based on in-situ ellipsometry and X-ray photoelectron spectroscopy analyses.

[1] G. N. Parsons and R. D. Clark, Chem. Mater. 32, 4920 (2020).

[2] G. Akiki, D. Suchet, D. Daineka, S. Filonovich, P. Bulkin, and E. V. Johnson, Appl. Surf. Sci. 531, 147305 (2020).

[3] G. Akiki, M. Fregnaux, I. Florea, P. Bulkin D. Daineka, S. Filonovich, M. Bouttemy and E. V. Johnson, J. Vac. Sci. Technol. A 39, 013201 (2021)

View Supplemental Document (pdf)
PS-Contributed On Demand-157 Driving Frequency and Ozone as Key Parameters for Nitrogen Oxidation by a Dielectric Barrier Discharge in an N2-O2 Mixture
Antoine Remy (Université libre de Bruxelles, Belgium); Nathalie De Geyter (Ghent University, Belgium); François Reniers (Université libre de Bruxelles, Belgium)

Dielectric barrier discharges (DBDs) have a wide field of applications, ranging from coating depositions [1] to gas conversions [2]. The addition of nitrogen to oxygen in ozonisers allows a better ozone (O3) yield due to reactions with nitrogen species. But at some point, the nitrogen added will limit the ozone output because of nitric oxide (NO) poisoning [3]. Therefore, in O3 production from air, the side production of nitrogen oxides (NOx) in various forms has always been seen as a pollutant. For nitrogen fixation by oxidation, we created a discharge into nitrogen and oxygen while optimizing the NOx output over frequency, power and oxygen ratio. Nitric oxide is known to be efficiently produced at high temperature due to the reaction between vibrationally exited N2 and atomic oxygen [4], unlike O3 which is best produced at low temperature [3]. By adjusting the parameters, we can find the perfect balance between NO and O3 production that allows to use O3 as an oxidizing agent for NO and thus locking NOx species in higher oxidation states (HNO3, NO2, N2O5). The hydrogen needed is coming from the polymer walls of the reactor or remaining water. The reactor is a plate-to-plate DBD with two dielectrics (3 mm quartz) separated by a 2 mm gap, in a continuous flow rate (0,5 to 6 L/min) of an N2/O2 gas mixture (10% to 90% of oxygen). The total flow rate of the reactor and the oxygen concentration has been studied over four driving frequencies (4 kHz, 12 kHz, 17 kHz and 30 kHz) to optimise the energy efficiency (ppm/W.s) of NOx. In-situ Fourier transform infrared spectrometry has been used to monitor nitrogen oxides production as function of discharge time. It allowed us to follow the concentration in the reactor of O3 and NOx from 0 to 250 s of discharge time with a 1 second resolution. The concentration evolution and energy efficiency using different frequencies show three different behaviours of NOx and O3 production in the discharge. Among them, 17 kHz shows the highest energy efficiency at 50% oxygen concentration and a flow rate of 1 L/min mainly through the production of HNO3. At 6 L/min, 30 and 17 kHz frequencies tend to have both the highest energy efficiency whereas the lower frequencies (4 and 12 kHz) mainly produce NO and NO2 at all flow rates and oxygen concentrations under study and at lower energy efficiency. We thus emphasize here the correlation between ozone and nitrogen oxides production and the influence of the driving frequency.

Acknowledgment:

This work was supported by the Excellence of Science FWO-FNRS Nitroplasm project (EOS ID 30505023)

Bibliography:

[1] D. Merche et al., Thin Solid Films. 520 (2012) 4219–4236.

[2] A. Ozkan et al., Journal of CO2 Utilization. 9 (2015) 74–81.

[3] U. Kogelschatz, Plasma Chem. Plasma Process. 23 (2003) 1–46.

[4] C.D. Pintassilgo et al., J. Phys. D. Appl. Phys. 38 (2005) 417–430.

PS-Contributed On Demand-160 Are Atmospheric Plasmas Environmental Friendly? A Case Study
Raphaëlle De Cruyenaere (Université libre de Bruxelles, Belgium); Nicolas Vandencasteele (CPI Plasma); Wouter Achten, Francois Reniers (Université libre de Bruxelles, Belgium)

Plasma technology, and more specifically atmospheric plasma, are often referred as green technologies. Indeed,they do not use (toxic) organic solvents, they operate at atmospheric pressure, therefore without the need of pumping systems, they use electricity that can be produced by green technologies, and they are most often “cold” plasmas, meaning that the energy loss in heating the gas is limited. These arguments are often used either in conferences, or by manufacturers of equipment to justify the choice of the technology. However, there are limited scientific data showing the real environmental impact of (cold) atmospheric plasma [1]. Through a case study, the environmental impact and the eco-efficiency of plasma treatments are calculated and compared with their traditional counterparts. The environmental impact, through the life cycle analysis (LCA) is calculated using the SimaPro software and the EcoInvent database.

Surface activation of polymers to increase their surface energy and their adhesion properties is one of the major uses of cold atmospheric plasmas (such as dialectric barrier discharges -DBDs). In this study, surface activation of a model polymer using a high speed atmospheric dielectric barrier discharge operating in a nitrogen atmosphere is compared to a traditional wet chemistry surface activation. Both processes present advantages and drawbacks. A DBD necessitates high gas flows and, for nitrogen used as a gas, a very high voltage, but do not produce significant residues. The wet chemistry approach requires aggressive chemicals, diluted possibly in water. Heating is required to evaporate the solvent, whereas chemical residues have to be collected. On the other hand, it does not require advanced plasma technology.

After having defined the perimeter (the limits) of the analysis, the impact of the energy injected in both treatments, the environmental cost of the reactants and of the release of the byproducts has been evaluated.

A global eco-efficiency of both treatments is established and discussed and the limits of the LCA model are discussed for this specific case study. Extension to other plasma processes is discussed

Reference :

[1]. Anastasopoulou, et al. A., Industrial & Engineering Chemistry Research,(2016) 55(29), 8141‑8153. https://doi.org/10.1021/acs.iecr.6b00145

PS-Contributed On Demand-163 Spatio-Temporal Characterization of a Pulsed DC Atmospheric Pressure Plasma Jet Interacting With Substrates
Michael Johnson (National Research Council); David Boris, Tzvetelina Petrova, Scott Walton (Naval Research Laboratory, USA)

Atmospheric pressure plasma jets (APPJs) generate an environment with unique electrical and chemical properties in the open air, making them attractive for a variety of surface treatment applications. The broad operational space of APPJs allow for the treatment of metal, ceramic, plastic and biomaterial surfaces. When a plasma contacts a surface, the electrical and chemical characteristics of the entire plasma jet can change based on the properties of the surface. This interdependency between the plasma and the surface during plasma-surface interactions creates a complex system, where changes to one will result in changes to the other. Understanding the plasma-surface interactions and the associated changes to plasma properties thus becomes critical in advancing the use of APPJs to treat surfaces. In this work, the evolution of a pulsed-DC APPJ, produce in a helium flow and contacting different surfaces is studied using temporally- and spatially-resolved optical emission spectroscopy. Gold and glass substrates are used as exemplary conducting and insulating substrates.On an insulative surface, a surface ionization wave forms which briefly spreads out across the surface. With a conducting substrate, a series of different features form on the surface that will last the entire duration of the voltage pulse. Emission associated with select species, such as helium, nitrogen and hydroxide, are investigated to understand the kinetics within the plasma. Different ratios between emission lines are determined to understand the relative contribution of electrons and metastable states in driving species production during the active and afterglow phases of plasma generation. This work is supported by the Naval Research Laboratory base program.

PS-Contributed On Demand-166 Modulation of Synergy in Metal ALE: Film Composition Effects
Nathan Marchack, Edwin David, Dane Kazem, Bang To, Marinus Hopstaken, Sebastian Engelmann (IBM Research Division, T.J. Watson Research Center)

Titanium Nitride (TiN) is a well-established material in CMOS fabrication, and has recently continued to fulfill hard mask and electrode roles in novel technologies such as carbon-based dopamine sensors[1] and RRAM.[2] ­However, the material’s inherent physical properties, particularly its low surface binding energy, make it a poor candidate for plasma-enhanced atomic layer etching (ALE) techniques, where spontaneous etching can be observed even at zero bias conditions.[3]Thermal ALE approaches involving surface oxide conversion followed by removal using a fluorine-based chemistry have been demonstrated,[4] however, temperature constraints in integration schemes diminish the utility of these approaches.

We demonstrate how surface modification of TiN in an inductively coupled plasma (ICP) discharge using O2 and H2 chemistries can be used to modulate etch selectivity to the bulk TiN material in F- and Cl-based plasmas, respectively. For the case of surface oxidation, bias power was the most impactful knob in inducing comparable results to thermal approaches (~250C), as measured by x-ray photoelectron spectroscopy. The variation in film properties, particularly local oxygen concentrations, induced by the physical vapor deposition (PVD) method and its subsequent effect on etch processes were also studied. Excellent across wafer uniformity is demonstrated with this approach over continuous-wave (CW) plasma processes.

For H2 plasma exposure, pressure was found to be the most significant control in modulating etch resistance, with a process window for sub-nm ER/cycle found at 50 mTorr (see suppl. doc.). VDC measurements from the ICP reactor were largely uncorrelated to the etch rate modulation. The effect of this treatment of a Si surface was investigated for integration schemes requiring tailored selectivity.

[1] Engelmann, Ando and Narayanan, AVS 66th Symposium, Columbus, OH (2019)

[2] Demuru, Nela, Marchack et al, ACS Sensors 3, 799 (2018)

[3] Marchack, Papalia, Engelmann and Joseph, JVSTA 35, 053C14 (2017)

[4] Lee and George, Chem. Mater. 29, 8202-8210 (2017)

View Supplemental Document (pdf)
PS-Contributed On Demand-169 Bridging the Gap Between Plasma Spectroscopy and Catalytic Analysis: A Study on CO2 Methanation
Lucas Quintana, Ellen Fisher (Colorado State University)

Plasma-assisted catalysis (PAC) is a process that has been investigated as a promising solution to combat increasing environmental concerns. To remain a viable option for pollution control, however, a thorough understanding of the underlying synergisms between the catalyst and plasma must be elucidated. In this work, optical emission spectroscopy was employed to assess the impact of zeolite and Ni/zeolite catalysts on relative species densities and energy partitioning trends in H2 and mixed gas H2/CO2 inductively coupled plasma systems. In a 90:10 H2:Ar plasma, where Ar is used as an actinometer, H2 rotational temperature (Trot) was ~500 K regardless of the presence of a catalyst. In the 1:1 CO2/H2 mixture in the presence of a catalyst, Trot nearly doubled to a range of 1000-1200 K. Thus, some underlying synergy exists between all the components in the plasma system. Possible changes to the catalyst as a result of exposure to the plasma were examined using scanning electron microscopy with energy dispersive spectroscopy (SEM-EDS) and with powder x-ray diffraction (pXRD). Catalyst analysis by SEM-EDS and pXRD revealed that the morphology and bulk chemical characteristics of the catalyst remained largely unchanged after exposure to plasma, indicating that these catalysts are robust and reusable in a PAC system. Collectively, these data provide insight into the fundamental plasma interactions in PAC systems, which will ultimately lead to deeper understanding of the ever-growing atmospheric pollution problem. In addition, this work sets the stage for future work examining the methanation of CO2 utilizing plasma technologies.

PS-Contributed On Demand-172 A Low-Cost Atmospheric Pressure Plasma Apparatus to Depyrogenate Delicate Materials in a Sealed Environment
Naman Bhatt, Duncan Trosan (North Carolina State University); Justin Brier-Jones (Loma Linda University, Karamedica, Inc.); Joshua Pecoraro (North Carolina State University); Jann Smallwood (Loma Linda University); Andrew Crofton (Case Western Reserve University, Karamedica Inc.); Samuel Hudson (North Carolina State University, Karamedica); Wolff Kirsch (Loma Linda University, Karamedica, Inc.); Katharina Stapelmann, Steven Shannon (North Carolina State University)
One of the biggest challenges in the pharmaceutical industry is to produce low-cost pyrogen-free material that can be used in internal medicine. Chitosan is one such material that has shown promising results as a drug carrier in targeted treatments of cancer and Alzheimer’s disease. Chitosan is currently being evaluated by our collaborators as a potential key component of a prophylactic treatment for COVID-19 (coronavirus). An efficient and cost-effective depyrogenation process with validation of endotoxin reduction can be of huge benefit to the medical industry.

Pyrogens, such as endotoxins, are ubiquitous and can easily be attracted from non-sterile environments. Endotoxins cause an inflammatory response in the human body and a significant amount can cause an endotoxic shock with high fever, organ failure, and even death. Endotoxin is notoriously difficult to destroy or inactivate as it is highly stable in temperature and pH changes and varies in size and molecular weight. In addition, endotoxins have strong binding affinity for chitosan molecules. Conventional sterilization processes including dry or wet heat, radiation, and chemical sterilant cause alterations to chitosan’s properties and may leave toxic residues.

In this talk, we present a low-cost high-volume atmospheric pressure plasma apparatus that allows controlled plasma processing in a sealed environment. A dielectric barrier discharge is built to perform depyrogenation inside hermetically sealed bags. Design challenges and considerations are discussed. FDA requires at least a 3-log reduction in endotoxin levels for depyrogenation processes. However, there is not any quantified data in literature for endotoxin reduction using plasmas. We carried out a detailed experimental study with plasmas of different gas mixtures including synthetic air, nitrogen, and helium and were able to achieve up to a 4-log reduction in endotoxin levels. FTIR and Raman spectroscopy measurements revealed no structural change in chitosan after the plasma process. Surface modifications of different bag materials are also presented through FTIR and Raman spectroscopy.

This work is supported through the NIH SBIR program and Karamedica, Inc.

PS-Contributed On Demand-175 Two-Dimensional Inductive Coupled Plasma Remote Source Modeling and Experimental Validation With Different Gas Mixtures
Abhra Roy (ESI Group); Luke Zhang, Yun Yang, Shawming Ma (Mattson Technology, Inc.)

2D axisymmetric numerical model has been developed to simulate an inductive coupled plasma (ICP) discharge in plasma reactors with remote source for resist ashing and surface treatment applications. Commercial modeling software, CFD-ACE+ was used for simulations of inductively coupled plasma reactor (without wafer bias) to address gas flow, heat transfer, plasma chemistry and electromagnetics in a coupled fashion. Maxwellian EEDF, quasi-neutrality of electron/ion density balance, and ambipolar electric field is assumed. Several gas phase and surface chemistries are developed on pure and mixtures of gases, we will address discharge of Argon, Nitrogen, Helium, Oxygen and N2/O2 mixture. Initially, the fast global (0D) model has been used to help isolate the major reaction pathways and enable reduction of the large volumetric mechanisms used in multidimensional simulations. Then the models are applied to simulate 2D reactor for all the gas discharges. Model predictions of plasma, ion and important active species densities, and electron temperature are reported. Experimental measurements are performed in Mattson’s Suprema Asher, a downstream ICP reactor with patterned grounded Faraday shield [1] to reduce electron temperature and ion energy, as well as charge separation conductive grid between the plasma source and heated pedestal to reduce ion concentration on the wafer surface. Retractable Langmuir probe is inserted into plasma source through the special top cap with three openings, defining the vertical axes along which plasma density and electron temperature are repeatedly measured. Both numerical and experimental data are presented in a comparative manner. Model calibration has been performed based on experimental data, primarily modifying the gas phase reaction steps (reaction rates. collision cross section data) in several stages. Using the final model, a close match of result is observed lading a proper validation. This numerical model has been further used to simulate parametric process recipes.

[1] Stephen E. Savas, Brad S. Mattson, Martin L. Hammond, Steven C. Selbrede, Patent US 6143129.

PS-Contributed On Demand-178 Etching Characteristics of Low-K SiCOH Thin Films Deposited by Plasma Enhanced Chemical Vapor Deposition Using Tetrakis(Trimethylsilyoxy)Silane Precursor
Jacob Comeaux, William Wirth, Seonhee Jang (University of Louisiana at Lafayette)

As semiconductor devices get smaller, one issue that arises is the resistance-capacitance (RC) delay in the interconnects, resulting in the degradation in the performance of devices. To reduce the RC delay, the dielectric constant can be reduced by using a low-k dielectric (k<4.0) in place of traditional SiO2 (k=4.2) for the intermetal dielectric (IMD) material. The interconnects integration requires the dielectric patterning followed by metal filling, so-called “Damascene” process. This brought the need for low-k dielectric patterning by plasma etching. There are some challenges in etching of low-k materials due to their low density and porous structure. In this study, the effect of plasma etching on the low-k properties was demonstrated. The low-k SiCOH thin films were deposited on silicon (Si) wafers using plasma enhanced chemical vapor deposition (PECVD) of the precursor tetrakis(trimethylsilyloxy)silane (TTMSS). The deposition conditions varied the RF plasma power from 20 to 100 W, and the process pressure from 26.7 to 66.7 Pa. The deposited films then underwent a reactive ion etching (RIE) in CF4, O2, and Ar at varying etching conditions including the flow ratios, pressure, and RF power. Characterization of the films was performed before and after RIE. Chemical characterization was performed by Fourier transform infrared (FTIR) spectroscopy and X-ray photoelectron spectroscopy (XPS). The composition of thin films affected the reaction between etching gases and the film surface. CF4 gas contributed to the volatile Si compounds such as SiFx. By adding O2 to CF4, carbon materials can be removed by forming CO and CO2. The concentration of F-radicals in the plasma determined the etch rate. The etching chemistry strongly depended on the structure and composition of SiCOH films. In addition to the change in the chemical structure of SiCOH films after RIE, material properties of as-deposited SiCOH films were compared with those of etched films: mechanical properties including elastic modulus and hardness measured by nanoindentation, refractive index and film thickness (deposition rate and etch rate) using ellipsometer, surface morphology observed by atomic force microscopy (AFM), and electrical properties including dielectric constant and leakage currents measured with capacitance-voltage (C-V) and current-voltage (I-V) curves.

PS-Contributed On Demand-181 Enhancing the Far Ultra-Violet Optical Properties of Aluminum Mirrors with a Plasma Based Approach to Oxide Removal and Fluorine Passivation
David Boris (U. S. Naval Research Laboratory); Luis Rodriguez de Marcos (Catholic University of America); Alex Kozen, Samantha Rosenberg (ASEE Postdoctoral Fellow); Javier del Hoyo, J. Gabriel Richardson, Edward Wollack, Manuel Quijada (NASA Goddard Space Flight Center); Scott Walton (U. S. Naval Research Laboratory)

Astronomical instrumentation/telescopes operating in the Far Ultra-Violet (FUV, 90-200nm) require the use of aluminum mirrors due to its high reflectivity over this wavelength range.Unfortunately, the native aluminum oxide layer formed in atmosphere is strongly absorbing in this wavelength range, requiring that the aluminum films be passivated with a dielectric/transparent layer that inhibits oxidation.Efficient optics in the FUV range are challenging due to the limited selection of protective transparent materials available for use on aluminum. A promising coating materials is AlF3, which can protect the underlying aluminum and yields a theoretical reflectivity of » 50% down to 100 nm, if the coating is sufficiently thin.In this work, we explore the use of electron beam generated plasmas produced in an SF6 background to simultaneously remove the native oxide layer, while depositing an AlF3 capping layer to passivate the aluminum.XPS measurements indicate that this approach is capable of producing thin (15 - 30 nm) AlF3 films with some mild (≤5%) oxygen contamination. We will discuss the impact of plasma power, plasma chemistry, and plasma exposure time on the composition and structure of the passivation layer and how those parameters affect the optical properties. This work is partially supported by the Office of Naval Research, the Naval Research Laboratory base program, and NASA Strategic Astrophysics Technology (SAT) grant No. NNH177ZDA001N

PS-Contributed On Demand-184 Spatially Localized Etching using a Novel, Mask-free and Contactless Plasma Patterning Technique
Erik V. Johnson, Junkang WANG, Monalisa Ghosh, Ronan Leal, Pavel Bulkin, Pere Roca i Cabarrocas (LPICM‐CNRS, Ecole Polytechnique, Institut Polytechnique de Paris ); Sergej Filonovich (Total GRP)

A novel, mask-free plasma-patterning technique has recently been demonstrated, allowing a lithographic function to be performed in a contactless manner [R. Léal et al 2020 Plasma Sources Sci. Technol. 29 025023]. This technique involves using a powered RF electrode containing grooves or slits (with widths in the mm or sub-mm range), as well as a custom‐designed CCP-PECVD chamber. By approaching the RF electrode to within a very short distance of the substrate surface, plasma can be ignited and confined within the slits due to the hollow cathode effect. Therefore, the plasma process performed is spatially localized as well.

We present herein a further application of such mask-free, contactless PECVD process for the realization of spatially localized etching. A NF3/Ar plasma chemistry has been employed, and different substrate materials (crystalline silicon, single layer and stacks of amorphous silicon thin films) have been tested. The patterned etching areas are first investigated by profilometry. In continuous mode, the etching rate is very fast (up to 10nm/s), making the controlled removal of thin films difficult, but by pulsing the RF power (duty cycles as low as 0.5%), the etching rate can be fine controlled down to around 1 Å/s, and a 3D mapping of the etching trench shows an excellent uniformity along its length. A further structural characterization has been performed within the etching trench by spectroscopic ellipsometry, before and after the etching process. The ellipsometric modelling results indicate that very little damage or surface roughening is induced by the pulsed etching process, despite the use of Ar in the gas mixture.

This work enables the application of this technique in the fabrication of semiconductor devices. For instance, the controllable etching rate and good etching homogeneity over large areas make it suitable for the formation of interdigitated contacts for the IBC solar cell, and we will present progress in this device application.

PS-Contributed On Demand-187 Effects of Outside Circuit on Capacitively Coupled Plasma Based on 1D Circuit Modeling and Experiments
Yuhua Xiao (North Carolina State University); Sang Ki Nam, Hoki Lee, Jang-Yeob Lee (Samsung); Steven Shannon (North Carolina State University)
Radio frequency capacitively coupled plasmas (CCPs) are widely used in etching and deposition processes. The applied voltage is mainly distributed over the sheaths formed near the powered electrode and the counter electrode. This counter electrode is typically grounded or isolated from ground through a dielectric break. The energy of ions hitting the electrodes is mostly determined by the properties of these sheaths. Manipulation of the constant and time dependent oscillation of these sheaths is the primary means to control the energy distribution of ions incident on the electrode surface. A widely used approach is using dual- or multi-frequency waveform generated by several power supplies. In this work, a simpler method is adopted to change the sheath voltages. A circuit consisting of a variable capacitor and an inductor connects to the floating electrode outside the reactor. The outside circuit changes the impedance between floating electrode and the ground, and the sheath voltage distribution therewith is modified. The plasma is simplified to an equivalent circuit model and adapted to simulate the effect of this outside circuit on sheath properties. The simulations are further compared to experimental results measured using hairpin probe, Langmuir probe, Voltage/Current probe, and a retarding field energy analyzer (Impedans Vertex RFEA). Results show that the outside circuit can partially control the DC self-bias and distribute the voltage and the energy loss between two sheaths associated with two electrodes. The outside circuit can enlarge the sheath volume adjacent to the floating electrode and reduce the sheath voltage of powered electrode prominently. These results point to possible source design based pathways for engineering the distribution of power dissipation across these sheaths in industrial plasma reactors. View Supplemental Document (pdf)
PS-Contributed On Demand-190 Characterization and Spatially Resolved Analysis of an Open Channel Microfluidic Substrate for Atmospheric Plasmas
Josh Morsell, Steve Shannon (North Carolina State University); Jingkai Jiang, Peter Bruggeman (University of Minnesota)

The field of atmospheric pressure plasmas is an area of high interest. Many industries involving additive manufacturing, chemical processing and surface modification processes all stand to benefit from atmospheric plasmas. There are still many unknowns for how these plasmas interact with complex, multi-phase interfaces. The goal is to develop a controllable surface that is free from confounding issues with larger plasma-liquid interface experiments (i.e. convective stirring in bulk liquids). This work is for the design and characterization of an open channel microfluidics substrate for open use. The result is a series of 120 microchannels driven by capillary flow where flow characteristics are dependent on channel geometry. A rectangular cross section balances good performance with ease of manufacturing. The channels are 100um wide and 200um deep with a pitch of 100um. There are reservoirs on either end of the channels, one for fluid input and another for spatially resolved fluid extraction from the channels. It is possible to achieve a spatial resolution of 400um by utilizing per channel diagnostics. The device is etched via DRIE in a silicon wafer with a thermally grown oxide layer for chemical resistance. Flow measurements with these substrates show that a flow rate up to 1.2ml/min is possible and can be controlled by modifying applied pressure to the fluid inlet. A 13.56MHz atmospheric pressure plasma jet has been co-developed for studying the plasma-surface interactions. The designs for this microfluidic substrate are made available to the public for use. This work is supported by the DOE Center for Plasma Interactions with Complex Interfaces.

PS-Contributed On Demand-193 Construction of a Surrogate Model of a Plasma Processing Systemby Machine Learning
Masakazu Ichikawa, Kazumasa Ikuse (Osaka University, Japan); Kuan-Lin Chen (National Yang Ming Chiao Tung University, Taiwan); Jong-Shinn Wu (National Yang Ming Chiao Tung University ); Satoshi Hamaguchi (Osaka University, Japan)
The growth of semiconductor technology today is rapid and one of the challenges is to improve the productivity of semiconductor device manufacturing. Plasma processing is widely used in semiconductor device manufacturing and achieving more efficient real-time control of individual plasma processing tools on the manufacturing floor would vastly improve their productivity. Knowing the real-time physical parameters of a plasma processing tool would help improve the real-time control. Such parameters may include, for example, the electron density profile, ion energy and flux distributions, and etching rate profile over the wafer. In reality, however, because diagnostics that can access the plasma during the manufacturing process is extremely limited, one needs to rely on available tool data, such as input power, gas pressure, and possible additional sensor data, to make an educated guess on the current state of the plasma inside the tool. This is called virtual metrology (VM). To develop a VM system of a plasma tool, we are constructing a surrogate model of a plasma processing system based on numerical simulation data of the plasma. Such a surrogate model can be evaluated concurrently with the actual process, unlike the original physics-based numerical simulations. In this study, we used scikit-learn, an open-source machine learning library in Python, to predict various plasma parameters as functions of the powered electrode voltage and gas pressure for Ar plasmas. Data were obtained from two fluid-model-based plasma simulations and currently we are mostly focusing on one-dimensional simulations. Various techniques such as Lasso regression, Ridge regression, Gaussian process regression, and Multilayer perceptron have been tested for this problem. The results suggest that the plasma parameters can be predicted in real time and the model developed here is a stepping stone for an extension to 2 and 3-dimensional plasma simulation data in the future.
PS-Contributed On Demand-196 Incorporating Electronegative Feedback Mechanisms in a Global Plasma Circuit Model for Pulsed Power Delivery
Carl Smith (North Carolina State University); Sang Ki Nam, Kiho Bae, Jang-Yeob Lee (Samsung Mechatronics R&D Center); Steven Shannon (North Carolina State University)

Pulsed inductively coupled plasma (ICP) sources have been of interest in the semiconductor industry over the past decade given their ability to reduce substrate damage and charge buildup. For an electropositive plasma, in the power ON-Cycle of a pulse, these discharges are typically characterized by a sharp increase in Te, and ne, as well as a corresponding decrease in the reflection coefficient (Γ) ­. In previous work, a Matlab based Global Plasma Circuit Model (GPCM) has successfully been employed at characterizing these transients for Argon. This work presents experimental results from an SF6/Ar Plasma taken on the Inductively Coupled Argon Oxygen System (ICAROS), where ne was taken a photodiode as well as a time resolved hair pin resonator probe. Modifications to the equivalent transformer model are also proposed, such that electronegative effects such as electron attachment instabilities can be accounted for. Finally, the role that match effects has on inducing these electron attachment instabilities are also explored, as it has been observed that matching in the early ON-Cycle can induce these phenomena which are subsequently modulated by ratio of SF6 to Ar.

This work is supported by the North Carolina State University and the Samsung Mechatronics R&D Center

PS-Contributed On Demand-199 Comparative Study of Low Damage Plasma Etching Processes on the Integrity of AlGaN Layers Integrated in GaN HEMT During Gate Opening
Oleh FESIIENKO, Camille Petit-Etienne (University Grenoble Alpes, LTM, CNRS); Maxime Darnon, Ali Soltani, Hassan Maher (Université de Sherbrooke, LN2, CNRS UMI-3463); Erwine Pargon (University Grenoble Alpes, LTM, CNRS)

One promising integration scheme for High electron mobility transistors (HEMTs) based on III-N semiconductor heterostructures such as AlGaN / GaN is to use a thin AlGaN barrier. One of the fabrication challenges of this integration architecture is the etching of the SiN thin cap layer that must be stopped on the very thin 4 nm-thick AlGaN layer with a nanometer scale definition and without introducing damage and/or modification of the AlGaN surface layer.

In this work, the authors propose to investigate and compare different plasma etching strategies to etch the last 5 nm of the SiN cap layersanisotropically and with minimal damage to the thin layer of AlGaN material. Fluorocarbon and HBr-based plasma processes were developed in an inductively coupled plasma (ICP) reactor and compared to a digital etching concept that combines a SiN surface modification step by hydrogen ion implantation and a selective removal step of the modified SiN layer over the AlGaN using a Buffered oxide etching (BOE). The SiN modification depth during implantation is controlled by the hydrogen ion energy and flux. Post-etching treatments for repairing or minimizing the AlGaN surface damage induced by the SiN etching process were also evaluated. For all the cited strategies, the AlGaN surface damage in terms of chemical stoichiometry and change of the surface valence band energy were characterized by quasi in situ Angle Resolved X-ray Photoelectron Spectroscopy (AR-XPS), while the AlGaN surface roughness after process was analyzed by atomic force microscopy (AFM).

Concerning the preliminary results, we demonstrate that fluorocarbon plasma process has infinite SiN/AlGaN etch selectivity, due to the formation of an Al-Fx layer on the AlGaN surface that stops the etch. Unfortunately, the transformation of AlGaN surface into AlFx extends from 1.5 to 3.5 nm depth depending on the plasma ion energy, which is not acceptable when dealing with 4 nm thick AlGaN layer. Moreover, AlFx layer is hardly removed by wet or dry solutions. Upon HBr based plasma, the AlGaN surface stoichiometry is slightly degraded with Al and Ga depletion to a depth of 2 nm but without change of the valence band energy. Finally, the digital etching process was found to be the least damaging solution in terms of stoichiometry and valence band energy change. Complementary electrical characterizations will be performed to validate the use of the developed digital etching in the fabrication of high performant AlGaN/GaN HEMT.

PS-Contributed On Demand-202 Two-Dimensional Particle-in-Cell Simulation of Local and Nonlocal Electron Kinetics in Capacitively Coupled Plasmas
Hwan Ho Kim, Chang Ho Kim, Ji Hyun Shin, Hae June Lee (Pusan National University)
In capacitively coupled plasma (CCP) systems operated under various pressure conditions in semiconductor and display manufacturing processes, physical phenomena are observed involving significant alterations in discharge characteristics, depending on local or nonlocal electron kinetics. The energy relaxation occurs locally or nonlocally depending on the electron energy distribution functions, and thus fluid models cannot treat these phenomena. Also, a one-dimensional particle-in-cell (PIC) simulation cannot capture the effects of the device structure, which is also an essential factor for plasma uniformity. Although a two-dimensional PIC simulation is required to this investigate these phenomena, it is challenging owing to high computational complexity and cost. For this purpose, we performed a parallelized two-dimensional PIC simulation with a graphics processing unit. We analyze the transition mechanisms of nonlocal and local electron kinetics and investigate the correlation with plasma uniformity for the variations of the driving voltage, gas pressure, the gap distance between upper and lower electrodes, and the boundary conditions.
PS-Contributed On Demand-205 Characterization of Plasma-Thermal Cu ALE Processes and Etch Products
Xia (Gary) Sang, J. Mark P. Martirez, Louis-S. Bouchard, Emily A. Carter, Jane P. Chang (University of California at Los Angeles)

Chemical Mechanical Polishing (CMP) of copper (Cu) is reaching process limits as increasingly smaller feature sizes leave diminishing room for error for issues like dishing, defects, and corrosion. Plasma-thermal atomic layer etching of Cu is a promising and complementary process to address the challenges in nanometer-scale Back-End-of-Line (BEOL) device integration. This process consists of two steps: a modified layer is defined directionally in the plasma exposure step leveraging the anisotropic nature of low energy ions; the modified layer is completely and selectively removed by an isotropic etchant, leaving a final feature with ideal directionality.

This work achieves directional removal of Cu via the cyclic alternation of surface oxidation states. Low energy and directional oxygen ions were introduced to the surface, forming a thin film of oxidized copper. The oxide layer was then selectively removed, at a much higher rate compared to the metallic layer, using vapor phase formic acid. The surface composition changes after each process step were characterized by X-ray Photoelectron Spectroscopy (XPS) to delineate the formation of Cu2O, CuO and Cu(OH)2, corroborated by Cu LMM Auger lines. The etch product was collected and analyzed using Inductively Coupled Plasma Mass Spectroscopy (ICP-MS), Nuclear Magnetic Resonance (NMR) and Electron Paramagnetic Resonance (EPR) to confirm the presence of copper formate, the proposed reaction product. Experimental results were interpreted with the aid of density functional theory (DFT) calculations, which suggest the water-free dimeric tetra(μ-formato)dicopper(II) “paddlewheel” complex (Cu2(HCOO)4) to be the most favorable etching product. High resolution transmission electron microscope (HRTEM) images of a nano-scale patterned Cu thin film using this process confirmed the desirable, directional etch profile.
Session Abstract Book
(598KB, Oct 26, 2021)
Time Period OnDemand Sessions | Topic PS Sessions | Time Periods | Topics | AVS 67 Schedule