AVS 66 Session PS+AS+EM+SS+TF-MoA: Plasma-Surface Interactions

Monday, October 21, 2019 1:40 PM in Room B130

Monday Afternoon

Session Abstract Book
(321KB, Apr 26, 2020)
Time Period MoA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS 66 Schedule

Start Invited? Item
1:40 PM PS+AS+EM+SS+TF-MoA-1 Cleaning Chamber Walls after ITO Plasma Etching Process
Salma Younesy, Camille Petit-Etienne (LTM/CNRS, France); Sébastien Barnola (CEA-LETI, France); Pascal Gouraud (ST Microelectronics, France); Gilles Cunge (LTM/CNRS, France)

Controlling the reactor walls in plasma etching is a key to avoid process drifts. In fact, the deposition of plasma generated species on the reactor walls during etching changes their chemical nature, thereby affecting plasma characteristics such as the radical concentration and the ion flux, which eventually leads to etch rates, anisotropy and selectivity variations. To overcome this issue, the chemical nature of the deposit formed on the chamber walls during etching must be determined to be able to develop efficient plasma chamber cleaning strategies. For new optoelectronic devices, the integration of materials with low volatility etch products such as ITO renders the dry cleaning processes long and laborious. Therefore, we have investigated several plasma chemistries to find out the most efficient reactor cleaning strategy after ITO etching.

The chemical nature of the reactor walls is analyzed quasi in situ by XPS using the “floating sample” technique [1], in which an Al2O3 sample representing the reactor walls is fixed on the 200 mm diameter wafer, but separated from it by a 4 mm gap (which forces the sample to be electrically floating even when the holding wafer is RF-biased). After the process, the wafer and sample can be transported under vacuum to the XPS analyzer and the coating formed on the walls can be analyzed (along with the cleaning efficiency).

The results show that after ITO etching the walls are contaminated by indium. At low temperature, BCl3/Cl2 plasma is ineffective to remove this deposit while HBr/Ar and CH4/Cl2 chemistries provide promising results. More particularly, an Ar-rich mixture of HBr/Ar is the most efficient strategy, which suggests that Ar+ ions play an important role in the removal of ITO etching deposit.

[1] O. Joubert, G. Cunge, B. Pelissier, L. Vallier, M. Kogelschatz, and E. Pargon, “Monitoring chamber walls coating deposited during plasma processes: Application to silicon gate etch processes,” J. Vac. Sci. Technol. A Vacuum, Surfaces, Film., vol. 22, no. 3, p. 553, 2004.

2:00 PM PS+AS+EM+SS+TF-MoA-2 Plasma Resistance of Sintered Yttrium Oxyfluoride (YOF) with Various Y, O, and F Composition Ratios
Tetsuya Goto, Yoshinobu Shiba, Akinobu Teramoto (Tohoku University, Japan); Yukio Kishi (Nippon Yttrium Co., Ltd, Japan); Shigetoshi Sugawa (Tohoku University, Japan)

Yttrium oxyfluoride (YOF) has been received much attention as the material for various functional components used in the plasma process chamber for semiconductor manufacturing. This is because, as compared to the widely used Y2O3, YOF is stable against various corrosive plasmas using halogen gases which is frequently used in the etching processes and/or chamber cleaning processes. We have reported that YOF (1:1:1) film has the higher resistance to various plasma conditions (N2/Ar, H2/Ar, NH3/Ar, NF3/Ar, O2/Ar) than the Y2O3 and YF3 films 1, 2. In this presentation, we report the effect of ion bombardment on the surface structure of sintered yttrium oxyfluoride (YOF) with various Y, O, and F composition ratios. By combining the starting materials of YOF, Y5O4F7, and YF3 in sintering, the YOF samples with different Y, O, and F composition ratios were prepared. In these samples, the oxygen composition ratio was changed from 33 at% to 7at%. According to this, the fluorine composition ratio was changed from 33at% to 66at%, and thus, the samples became from Y2O3 rich to YF3 rich. Ar ion beam with 500 eV was irradiated to these YOF samples. It was found that the sputtering etching rate was monotonically decreased as the oxygen composition ratio was decreased. It was also found that the surface roughness was relatively smaller for the samples with the composition ratios of Y:O:F=1:1:1 and 5:4:7 (both correspond to the stable composition) than those with other composition ratios. The results indicated that the atomic composition ratio is an important parameter to obtain YOF with good stability against plasmas.

Acknowledgement

The plasma irradiation and inspection were carried out in Fluctuation-Free-Facility in Tohoku University.

1. Y. Shiba, A. Teramoto, T. Goto, Y. Kishi, Y. Shirai and S. Sugawa, J. Vac. Sci. Technol. A, 35 (2), 021405 (2017).

2. A. Teramoto, Y. Shiba, T. Goto, Y. Kishi and S. Sugawa p. 16, AVS 65th International Symp., Long Beach, 2019.

2:20 PM PS+AS+EM+SS+TF-MoA-3 Understanding Atomic Layer Etching: Thermodynamics, Kinetics and Surface Chemistry
Jane P. Chang (University of California, Los Angeles)

The introduction of new and functionally improved materials into silicon based integrated circuits is a major driver to enable the continued down-scaling of circuit density and performance enhancement in analog, logic, and memory devices. The top-down plasma enhanced reactive ion etching has enabled the advances in integrated circuits over the past five decades; however, as more etch-resistive materials are being introduced into these devices with more complex structures and smaller features, atomic level control and precision is needed in selective removal of these materials. These challenges point to the growing needs of identifying and developing viable etch chemicals and processes that are more effective in patterning complex materials and material systems such as multiferroics, magnetic materials and phase change materials, with tailored anisotropy and selectivity.

In this talk, a universal chemical approach is presented, combining thermodynamic assessment and kinetic validation to identify and validate the efficacy of various plasma chemistries. Specifically, potential reactions between the dominant vapor phase/condensed species at the surface are considered at various temperatures and reactant partial pressures. The volatility of etch product was determined to aid the selection of viable etch chemistry leading to improved etch rate of reactive ion etching process. Based on the thermodynamic screening, viable chemistries are tested experimentally to corroborate the theoretical prediction. Some of the above mentioned material systems such as complex oxides and metallic material systems used in logic and memory devices are used as examples to demonstrate the broad applicability of this approach.

3:00 PM PS+AS+EM+SS+TF-MoA-5 Comparison of Silicon Surface Chemistry between Photo-Assisted Etching and Ion-Assisted Etching
Emilia Hirsch, Linfeng Du, Vincent M. Donnelly, Demetre Economou (University of Houston)

Etching of p-Si in 60 mTorr Cl2/Ar Faraday-shielded inductively coupled plasmas was investigated under both ion-assisted etching (IAE) and photo-assisted etching (PAE) conditions. Real-time etching rate and after-etch Si surface chemical composition were characterized by laser interferometry and vacuum-transfer X-ray photoelectron spectroscopy (XPS), respectively. By varying the duty cycle of a pulsed negative DC bias applied to the sample stage, it was found that the IAE rate scaled with the ion current integrated over the bias period, and the total etching rate was simply the sum of PAE and IAE rates. Consequently, little or no synergism occurred between VUV photon- and ion-bombardment stimulated etching. The PAE rate was ~ 210 nm/min at 60 mTorr. Above the 25 eV threshold, the IAE etching rate increased with the square root of the ion energy. Compared to RF bias, a more monoenergetic IED was obtained by applying pulsed DC bias, allowing precise control of ion energy near the low-energy IAE threshold. XPS spectra showed that, when compared to IAE, the chlorinated layer on the surface of samples etched under PAE conditions had significantly lower chlorine content, and it was composed of SiCl only. Under IAE conditions, however, Si· dangling bonds, SiCl2, and SiCl3 were found, in addition to SiCl, with relative abundance of SiCl>SiCl2>SiCl3. The absence of higher chlorides and Si· dangling bonds under PAE conditions suggested that VUV photons and ions are interacting with the Si surface very differently. When PAE and IAE occurred simultaneously, energetic ion bombardment dictated the surface chemistry that resulted in the formation of higher chlorides.

3:20 PM PS+AS+EM+SS+TF-MoA-6 Chemical Reaction Probabilities in the Etching of Si by Fluorine Atoms Produced in a Mixture of NF­3/SF6 Plasma
Priyanka Arora, Tam Nguyen (University of Houston); Sangki Nam (Samsung Electronic Company, Republic of Korea); Vincent M. Donnelly (University of Houston)

Reaction probabilities in the absence of ion bombardment, defined as the number of silicon atoms removed per incident fluorine atom, have been investigated in mixtures of NF3 and SF6 plasmas in an inductively-coupled plasma reactor. Fluorine atom densities were measured by optical emission actinometry, and isotropic etching rates were measured by the degree of undercutting of SiO2-masked silicon, using cross-sectional scanning electron microscopy (SEM). In addition, atomic force microscopy (AFM) was used to examine surface roughness after etching. The F atom reaction probabilities derived from these measurements indicate ~30-fold higher reaction probability in SF6 plasma compared with values in NF3 plasma. Surfaces etched in SF6 plasma were much smoother than those etched in NF3 plasma. Addition of only 10% SF6 to an NF3 plasma produced a much higher reaction probability (~10-fold) than in a pure NF3 plasma. This surprising enhancement of reaction probabilities for F with Si in SF6 plasma will be shown to be due to adsorbed sulfur acting as a catalyst to greatly enhance the etching rate of Si. By allowing sulfur in isopropyl alcohol to evaporate on the masked Si samples, sulfur could be preferentially deposited in relatively high concentrations near mask edges in ~2 mm diameter periodic “strings of beads”. When this sample is placed side by side with one not exposed to sulfur, the sulfur dosed sample etched several times faster at the center of each bead, while sulfur-free surface exhibited the expected slower rate.

3:40 PM BREAK
4:00 PM PS+AS+EM+SS+TF-MoA-8 John Thornton Memorial Award Lecture: Low Temperature Plasma-Materials Interactions: Foundations of Nanofabrication And Emerging Novel Applications At Atmospheric Pressure
Gottlieb S. Oehrlein (University of Maryland, College Park)

Our ability to understand and control the interactions of non-equilibrium plasma with surfaces of materials has been an exciting frontline and enabled the realization of new applications and technologies. The plasma-surface interactions (PSI) field has grown rapidly because of a number of reasons. First, plasma-assisted etching (PE) is one of the foundations of micro- and nanofabrication where increasingly atomistic precision in materials processing is required. By enabling the realization of intricate material features that semiconductor circuits and microstructures consist of, PE makes possible our technological tools that form modern society. This exceedingly complex procedure begins with the transfer of a resist mask in a directional and chemically selective fashion into various materials. Controlling profile shape, critical dimensions, surface roughness, and electrical integrity are crucial, and determined by PSI. Second, development of novel low temperature plasma sources operating at atmospheric pressure has enabled advances in areas where use of PSI has historically been limited, e.g. biology. In this talk I will present a brief review of contributions that I and my collaborators have been honored to make to our understanding of PSI, in particular in the areas of surface processes that are essential for achieving the objectives of plasma etching processes in current semiconductor fabrication that are approaching the atomic scale, and interaction of low temperature atmospheric pressure plasma sources with model polymers and biomolecules aimed at disinfection and sanitation of biological materials.

4:40 PM PS+AS+EM+SS+TF-MoA-10 Determining Surface Recombination Probabilities during Plasma-enhanced ALD using Lateral High Aspect Ratio Structures
Karsten Arts (Eindhoven University of Technology, The Netherlands); Mikko Utriainen (VTT Technical Research Centre of Finland); Riikka Puurunen (Aalto University School of Chemical Engineering, Finland); Erwin Kessels, Harm Knoops (Eindhoven University of Technology, The Netherlands)

In this work we measure surface recombination probabilities r of plasma radicals, which is essential for the modeling and understanding of radical-driven plasma processes. Such quantitative information on r is scarcely reported in the literature and typically obtained by difficult and indirect measurement techniques. Here, we determine r using plasma-enhanced atomic layer deposition (ALD) on high aspect ratio (AR) structures, where the AR up to which film growth is obtained gives direct insight into r corresponding to the growth surface. This is demonstrated by measuring the recombination probabilities of O atoms on SiO­2, TiO2, Al2O3 and HfO2, revealing a surprisingly strong material-dependence. Aside from studying different materials, our method can for instance be used to investigate the impact of pressure and temperature on r. This can provide valuable information for e.g., device fabrication, plasma source design and simulations, in the context of plasma-enhanced ALD but also relevant outside this field.

For this study, we use microscopic lateral-high-aspect-ratio (LHAR) structures1 supplied by VTT (PillarHall® LHAR4). These chips have extremely high AR trenches (AR<10000) such that film growth is limited up to a certain penetration depth for even the most conformal processes. In the case of plasma ALD, where the film conformality is typically limited by surface recombination,2 we show that the achieved penetration depth can be used to determine r. Furthermore, the LHAR structures allow for comparison of growth behavior with and without an ion component.

These opportunities are demonstrated by plasma ALD of SiO­2, TiO2, Al2O3 and HfO2, using an O2/Ar plasma and SiH2(N(C2H5)2)2, Ti(N(CH3)2)4, Al(CH3)3 and HfCp(N(CH3)2)3, respectively, as precursors. It is observed that an exponential increase in plasma exposure time is required to linearly increase the film penetration depth. This relation, which solely depends on r, has been used to determine r=(6±2)⋅10-5, (6±3)⋅10-5, (1-10)⋅10-3 and (0.1-10)⋅10-2 for oxygen radicals on SiO­2, TiO2, Al2O3 and HfO2, respectively. Corresponding to these large differences in r, growth of SiO2 and TiO2 penetrated extremely deep up to AR~900, while deposition of Al2O3 and HfO2 was achieved up to AR~90 and AR~40, respectively. This strong material-dependence illustrates the importance of our quantitative research on surface recombination of plasma radicals.

  1. Arts, Vandalon, Puurunen, Utriainen, Gao, Kessels and Knoops, J. Vac. Sci. Technol. A 37, 030908 (2019)
  2. Knoops, Langereis, van de Sanden and Kessels, J. Electrochem. Soc. 157, G241 (2010)
View Supplemental Document (pdf)
5:00 PM PS+AS+EM+SS+TF-MoA-11 Study of Plasma-Photoresist Interactions for Atomic Layer Etching Processes
Adam Pranda, Kang-Yi Lin, Gottlieb S. Oehrlein (University of Maryland, College Park)

The emergence of atomic layer etching (ALE) processes has enabled improved control of surface profiles. Whereas the implementation of ALE processes on hard mask materials has been well established, the effects of these processes on photoresist materials is not well known. With the advent of next generation extreme ultraviolet (EUV) photoresists, there is the potential to utilize ALE processes with photoresist materials for fabrication of sub-10 nm feature sizes.

The plasma processing of photoresist materials induces several key physical and chemical modifications which affect material properties such as the etching behavior and surface roughness. In this work, we utilize in-situ ellipsometry, atomic force microscopy (AFM), x-ray photoelectron spectroscopy (XPS), and Fourier transform infrared (FTIR) spectroscopy to interpret the relationships between the aforementioned material properties, the photoresist chemical composition, and plasma ALE parameters such as ion energy and precursor gas type. By comparing these relationships between baseline continuous plasma etching processes and ALE processes, which include the introduction of chemically reactive surface passivation, we elucidate the intrinsic photoresist behaviors under plasma exposure and how an ALE process specifically impacts these behaviors.

Under nonreactive plasma chemistries, a universal response among photoresist materials is the development of a surface dense amorphous carbon (DAC) layer due to energetic ion bombardment. We have found that the photoresist etch rate is inversely proportional to the DAC layer thickness.1 However, photoresists with UV sensitive pendant groups, such as 193 nm photoresists, develop a greater surface roughness due to the stresses in the surface generated by synergistic ion and UV photon interactions.

With depositing fluorocarbon (FC)-based ALE gas chemistries, the deposited FC layer reacts with the DAC layer and converts it into a mixed layer. This incorporation of FC into the DAC layer reduces the surface roughness without impacting the etch rate of the underlying photoresist as long as a sufficient DAC layer thickness remains.2 This behavior is potentially advantageous for maximizing the photoresist to SiO2 selectivity while maintaining an adequate surface roughness.

The authors acknowledge S.A. Gutierrez Razo, J.T. Fourkas, R.L. Bruce, S. Engelmann, and E.A. Joseph for collaborations on aspects of this work, and financial support by the National Science Foundation (NSF CMMI-1449309) and Semiconductor Research Corporation (2017-NM-2726).

1 A. Pranda et al., J. Vac. Sci. Technol. A 36, 021304 (2018).

2 A. Pranda et al., Plasma Process. Polym. e1900026 (2019).

Session Abstract Book
(321KB, Apr 26, 2020)
Time Period MoA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS 66 Schedule