AVS 66 Session TF+AP-TuM: ALD and CVD: Precursors and Process Development

Tuesday, October 22, 2019 8:00 AM in Room A124-125

Tuesday Morning

Session Abstract Book
(317KB, Apr 26, 2020)
Time Period TuM Sessions | Abstract Timeline | Topic TF Sessions | Time Periods | Topics | AVS 66 Schedule

Start Invited? Item
8:00 AM TF+AP-TuM-1 Mechanism-Based Precursor Design for CVD of Metal Oxides and Sulfides
Lisa McElwee-White (University of Florida)
Aerosol-assisted chemical vapor deposition (AACVD) of WOx was demonstrated using the oxo tungsten(VI) fluoroalkoxo single-source precursors, WO[OC(CF3)2CH3]4 (1) and WO[OC(CH3)2CF3]4 (2). Mechanistic studies of the decomposition of 1 and 2 were consistent with gas phase decomposition to yield tungsten (VI) dioxo intermediates during growth of WOx materials. The dioxo tungsten alkoxide precursors WO2[OC(CF3)2CH3](DME) (3) and WO2[OC(CF3)3](DME) (4) were then prepared as a means of independently generating intermediates involved in deposition of WOx materials from 1 and 2. Further experimental and computational mechanistic studies have led to synthesis of related precursors with other O-bound ligand types, including β-diketonates, β-ketoesterates, β-ketoiminates, and β-diketiminates, which have been used for deposition of WOx films and nanostructures. Similar mechanism-based design strategies using S-bound ligands have been extended to precursors for deposition of MoS2 and WS2. Precursor syntheses, mechanistic studies, deposition of films under AACVD and CVD conditions, and characterization of the resulting materials will be discussed.
8:40 AM TF+AP-TuM-3 Improved Control of Atomic Scale Processing: Characterization and Optimization of Precursor Mass Delivery Utilizing a Novel Thermal Sensor
Daniel Alvarez, Jeffrey Spiegelman, Chris Ramos, Zohreh Shamsi (RASIRC)
ALD precursor utilization is a long-standing problem in semiconductor manufacturing. In general, precursors are quite expensive ($5-$25/gram), particularly where utilization is estimated as low as 5-10%. Thus far, chip manufacturers have been burdened by precursor costs and low wafer throughput. A non-optimized process consumes excess material and requires longer purge time.

For Area Selective Deposition (ASD), control of precursor mass delivery is even more critical to process viability. Here, excessive precursor material can initiate growth on "Non-growth” surfaces, leading to a need for intermittent etch steps.

More process control may make problematic processes viable for semiconductor manufacturing. Recently RASIRC introduced a novel dry hydrogen peroxide (H2O2) precursor. A novel H2O2 mass flow sensor was developed to aid in product characterization. This thermal sensor accurately measures heat of decomposition for minute amounts of H2O2:

H2O2 --> ½ O2 + H2O + heat

Our work uses this device to characterize H2O2 delivery parameters to:

  • Minimize total precursor mass required
  • Maximize precursor mass delivered in shortest time
  • Limit purge time for increased throughput
  • Minimize nucleation of “Non-growth" surfaces

Quantitative experimental methods are used to understand the effects of:

  • Ampoule headspace pressure
  • Carrier gas flow rate
  • Liquid precursor temperature
  • Precursor pulse time
  • Saturation efficiency of carrier gas with precursor vapor
  • Binary interactions for multicomponent liquids
  • System heat transfer
  • Ampoule design

An ALD simulation manifold was built to re-create typical ALD conditions. An automated test program controls valves and sensors to simulate process recipes. Initial results indicate highly variable mass delivery w.r.t. pressure. An ampoule outlet pressure of 20 torr results in 33.22 mg/min H2O2 mass delivery, vs 15.11 mg/min at 70 torr and 1.22 mg/min at 760 torr. Results are less affected by flow rate, where 0.5 slm at 22 torr leads to 33mg/min H2O2 vs 1.0 slm at 34 torr leads to 42 mg/min, and 2.0 slm at 57 torr leads to 44 mg/min. Here, increased mass delivery from higher flow rate is offset by a corresponding increase in pressure. In addition, while increased flow rate does not result in significant mass delivery increase for 2 slm, there is a significant decrease in precursor concentration, where the molar ratio of H2O2/N2 is decreased by 70% vs 0.5 slm. Concentration effects are significant to film uniformity in ALD and have ramifications in ASD.

Mass delivery vs pulse time was also examined. Data will be presented for 3s, 1s, 0.3 sec, and 0.1s pulses. Application to process optimization will also be discussed.

View Supplemental Document (pdf)
9:00 AM TF+AP-TuM-4 Effect of Co-Reactant on the Atomic Layer Deposition of Copper Oxide
Jason Avila, Neeraj Nepal, Virginia Wheeler (U.S. Naval Research Laboratory)
Atomic layer deposition (ALD) of copper oxide presents a powerful opportunity to grow p-type semiconductor material for a wide variety of applications such as transparent conducting oxide, solar fuels catalysis, and power devices. There are, however, very few ALD processes to facilitate the growth of copper oxide. Cu(II) bis(dmiethylamino-2-propoxide) (Cudmap) has previously been used to grow copper metal using a reducing source such as tertiary butyl hydrazine.1,2 Cudmap has also been demonstrated to grow Cu2O using water as a co-reactant, self-reducing from Cu(II) to Cu(I) in the presence of water.3 This study will examine the effect of ALD co-reactants, ozone and water, on the copper oxidation state of copper oxide films grown using Cudmap.

Copper oxide films were grown in a Veeco Savannah ALD reactor using Cudmap and ozone or water at 150 °C on Si and c-plane sapphire. This is the first experimental demonstration of CuO films using Cudmap and ozone. Using ozone, a growth rate of 0.18 Å/cycle was achieved at 150 °C, far higher than the measured growth rate of 0.04 Å/cycle when using water. Since growth rates are still quite slow, a vapor assisted precursor delivery system for the Cudmap was implemented and its ability to achieve higher growth rates will be presented.

XPS was able to confirm the presence of only the Cu(II) oxidation state with a Cu/O ratio of 1, verifying the deposition of CuO films. For comparison, films grown with water show the presence of only Cu(I) oxidation state and have a nearly stoichiometric with a Cu/O ratio of 2:1, confirming the deposition of Cu2O films. AFM also indicated uniform, continuous film growth, independent of co-reactant, for films as thin as 2 nm. However, for a similar thickness, CuO films deposited with ozone were rougher than Cu2O films grown with water. In addition to these initial results, comparison of the optical and electrical properties of the different copper oxide films for p-type semiconductor applications will be presented.

References

(1) Väyrynen, K.; Mizohata, K.; Räisänen, J.; Peeters, D.; Devi, A.; Ritala, M.; Leskelä, M. Chemistry of Materials 2017, 29, 6502.

(2) Kalutarage, L. C.; Clendenning, S. B.; Winter, C. H. Chemistry of Materials 2014, 26, 3731.

(3) Avila, J. R.; Peters, A. W.; Li, Z.; Ortuno, M. A.; Martinson, a. B. F.; Cramer, C. J.; Hupp, J. T.; Farha, O. Dalton Transactions 2017, 46, 5790.

9:20 AM TF+AP-TuM-5 Electron Enhanced Atomic Layer Deposition (EE-ALD) of Cobalt Films and Development of New Hollow Cathode Plasma Electron Source
Zachary Sobell (CU Boulder); Andrew Cavanagh, Steven M. George (University of Colorado at Boulder)

Cobalt films were grown with electron enhanced atomic layer deposition (EE-ALD) at room temperature using sequential surface reactions. The Co film growth was performed using sequential cobalt tricarbonyl nitrosyl (CTN, Co(CO)3NO) exposures and low energy (75-175 eV) electrons. A hot filament electron flood gun was used as the electron source. The electrons desorb the CO and NO ligands from CTN on the surface and produce active sites for additional CTN adsorption. The maximum growth rate was 0.5 Å per cycle at an electron energy of 125 eV. Cobalt is important as an advanced interconnect material to replace copper or tungsten. Because the electron flux is normal to the substrate, Co EE-ALD may be used to facilitate bottom-up-fill of trenches and vias.

One difficulty with Co EE-ALD using the electron flood gun is the long cycle times of 540 seconds. Much of this cycle time is consumed protecting the flood gun filament from precursor exposures and the long duration of the electron exposure due to the limited current of the gun. 42% of the cycle time is needed for the warm up and cool down of the filament of the electron flood gun between CTN exposures. Another 44% of the cycle time is required for the electron exposures. An additional 14% of the cycle time is needed to reduce the precursor pressure in the chamber following CTN exposures. The cycle time could be reduced significantly using a more robust and higher flux electron source.

A new hollow cathode plasma electron source (HC-PES) has been developed to reduce the cycle time during EE-ALD. The HC-PES has a >100X increase in electron flux compared with the electron flood gun. The HC-PES also eliminates the warm-up and cool-down time of the filament of the electron flood gun. The electron current from the HC-PES can be switched from nanoamps to miliamps in < 10 ms. The HC-PES is also chemically insensitive and reduces the need for pumping out the chamber following CTN exposures. This presentation will report on the characterization of this new HC-PES and its use for Co EE-ALD.

9:40 AM TF+AP-TuM-6 Surface Science Studies of GaN Substrates Subjected to Plasma-Assisted Atomic Level Processes
Samantha G. Rosenberg (American Society for Engineering Education (residing at U.S. Naval Research Laboratory)); Daniel J. Pennachio, Elliot C. Young, Yu H. Chang, Hadass S. Inbar (University of California at Santa Barbara); Jeffrey M. Woodward (U.S. Naval Research Laboratory); Zachary R. Robinson (SUNY Brockport); Jodi Grzeskowiak (University at Albany - SUNY); Carl A. Ventrice, Jr. (SUNY Polytechnic Institute); Chris J. Palmstrøm (University of California at Santa Barbara); Charles R. Eddy, Jr. (U.S. Naval Research Laboratory)

III-N semiconductors are well suited for applications in several important technological areas, including high current, normally-off power switches.1,2 Such devices require heterostructures not readily achievable by conventional growth methods. Therefore, we have developed a technique adapted from atomic layer deposition (ALD), called plasma-assisted atomic layer epitaxy (ALEp).2 Using surface science techniques, we strive to develop not only a fundamental understanding of the ALEp growth process but also complimentary atomic level processes (ALPs) that will result in the best preparation method for a pristine GaN starting surface for ALEp.

Here we employ in-situ and in-vacuo surface science studies of GaN substrate preparation to advance fundamental understanding of the ALEp process. Having optimized our GaN surface preparation (gallium flash off ALP),3 we conduct in-vacuo X-ray photoelectron spectroscopy (XPS), reflection high-energy electron diffraction (RHEED), and scanning tunneling microscopy (STM) studies in the Palmstrøm Lab at UCSB to further refine both our process and our understanding. Preliminary XPS results show that a GFO ALP conducted at 250°C for 12 cycles reduces the oxygen content by 5% but shows no reduction in the carbon content, while a GFO ALP conducted at 400°C for 30 cycles reduces the carbon content by 60% but shows no reduction in the oxygen content. Other XPS results show that our previously reported optimal GFO ALP results in a ~25% reduction of carbon, while a similar 25% reduction of oxygen was achieved using a GFO ALP with or without TMG. We have also conducted comparable temperature program desorption (TPD) and low energy electron diffraction (LEED) experiments at SUNY Polytechnic Institute to correlate structural and chemical changes that occur on GaN surfaces treated with our GFO ALP. TPD shows that NH3 is released from GaN surfaces not subjected to GFO ALP as it is heated past 150°C, while GFO ALP GaN surfaces show no NH3 release upon subsequent TPD experiments. Both GaN surfaces, before and after TPD, show an unreconstructed 1x1 diffraction pattern in LEED.

1. N. Nepal, et al., Appl. Phys. Lett. 103, 082110 (2013)

2. C. R. Eddy, Jr, et al., J. Vac. Sci. Technol. A 31(5), 058501 (2013)

3. S. Rosenberg, et. al., J. Vac. Sci. Technol. A 37, 020908 (2019)

10:00 AM BREAK - Complimentary Coffee in Exhibit Hall
11:00 AM TF+AP-TuM-10 Reaction Pathways in Photolytic CVD of Platinum on Organic Thin Films
Bryan G. Salazar (University of Texas at Dallas); Hanwen Liu, Lisa McElwee-White (University of Florida); Amy Walker (University of Texas at Dallas)
Chemical vapor deposition (CVD) is widely used to deposit materials including metals, oxides, and sulfides. However, CVD is generally unsuitable for use on organic substrates because it often requires high temperatures (> 200 °C). In this work we investigate photolysis as an alternative to thermal activation for CVD of metals on organic thin films. To study the role of precursor chemistry on the Pt CVD process we use three different precursors: (COD)Pt(CH3)2, (COD)PtCl(CH3), and (COD)PtCl2. We also investigate the role of substrate functionality on the CVD process using three different self-assembled monolayers (SAMs) with carboxylic acid-, hydroxyl-, and methyl- terminal groups to model organic thin films. Solution-phase photochemistry studies and residual gas analysis indicate that the photolytic activation of (COD)Pt(CH3)2 and (COD)PtCl(CH3) occurs via the loss of a methyl radical, while the (COD)PtCl2 occurs via the loss of a chlorine. Subsequently these radicals abstract ligands from the gas phase precursor and the organic surface leading to the formation of methane, chloromethane, chlorine and ethane. Using X-ray photoelectron spectroscopy and time-of-flight secondary ion mass spectrometry (TOF SIMS), we also investigated the reaction pathways involved on the organic surfaces. The data indicates that the deposition is highly dependent on the wavelength of light, the Pt precursor, and the SAM terminal group. Using (COD)Pt(CH3)2, we observe a small amount of Pt deposition on –OH and –COOH terminated SAM surfaces. In TOF SIMS we observe Pt- and O- containing ions indicating that Pt has inserted into the terminal group. Little, or no, deposition is observed on the –CH3 terminated SAMs. In agreement with previous studies, the data also shows that the neutral polyhapto ligand, COD, is difficult to remove; there are Pt- and COD- containing species present on the surface. Further, the data indicates that there is some SAM decomposition during the deposition. In contrast, for (COD)PtCl(CH3) and (COD)PtCl2 little, or no, Pt deposition is observed and the data indicates that the SAM layers decompose to form polyaromatic hydrocarbons. The damage appears to be caused by the formation of chlorine radicals during the photolysis, which can penetrate through and react with the SAM. In contrast, the methyl radical is larger leading to less SAM damage because it cannot penetrate through the SAM layer. These studies provide insight into the reaction pathways involved in photolytic CVD and the role of radicals in the subsequent deposition and interaction with organic layers. Such studies therefore aid in the rational design of photolytic CVD on organic substrates.
11:20 AM TF+AP-TuM-11 Process Development and Mechanism Analysis of Low Temperature ALD TiN with TiCl4/Monomethylhydrazine
Taiki Kato, Zeyuan Ni, Masaaki Matsukuma, Hideo Nakamura, Yoshikazu Ideno, Yosuke Serizawa (Tokyo Electron Technology Solutions Limited, Japan)

TiN is an important barrier metal for semiconductor devices. Nevertheless, it is difficult to form low-electrical-resistance TiN films at low temperature with existing thermal TiCl4/NH3 ALD processes. To overcome this difficulty, we tried a new azotizing gas MMH (Monomethylhydrazine: CH3NHNH2) instead of NH3 and achieved low electrical resistance TiN (~1 mW.cm) under 300 deg C deposition temperature. XPS and AFM observations revealed that the film deposited with TiCl4/MMH has smaller Cl concentration and is smoother than the one deposited with TiCl4/NH3. In this study, we analyzed the TiCl4/MMH ALD reactions to clarify the process improvement mechanism when using MMH. Furthermore, we also analyzed the reactivity of TiCl4 with NH3 and with novel azotizing gases HZ (Hydrazine: H2NNH2) and UDMH (Unsymmetrical dimethylhydrazine: (CH3)2NNH2) for future process development.

To analyze surface azotizing reactions, we used density functional theory calculation software, DMol3. Surface reaction analysis of TiCl2 termination revealed that the azotizing reactions removed Cl from the substrate by HCl gas generation and MMH was more reactive as an azotizing gas than NH3. These results explained the experimental phenomenon in which MMH can remove Cl from a TiN film more efficiently than NH3 and improve the film’s roughness and electrical resistance. HZ and UDMH are also more reactive than NH3 and are candidates for future azotizing gases.

Next, we analyzed gas phase decomposition reactivity of these agents for clarification of ALD process windows. This analysis is conducted by GRRM (Global Reaction Route Mapping) program which can search for reaction paths automatically. Gas decomposition reaction paths search revealed that ALD processes of TiCl4 / HZ, MMH and UDMH are feasible under 400 deg C.

Furthermore, we analyzed azotizing gas chain reactivity for safe conservation estimation. This analysis is calculated by a molecular dynamics simulator, ADF ReaxFF. We inspected the chain reactivity of HZ, MMH, and UDMH densely packed in a tight container at high temperature. Reaction MD simulations showed that UDMH is the safest, followed by MMH then HZ.

In summary, we developed a new thermal TiN ALD process with TiCl4/MMH instead of existing NH3. Our simulation studies suggest that MMH, HZ and UDMH can remove Cl from TiN film more efficiently than NH3 and improve the film roughness and the electrical resistance. Other reaction paths analyses show that the novel azotizing agents also have ALD temperature process windows under 400 degC and that the safe conservation trend HZ < MMH < UDMH. These hydrazine-like agents are promising azotizing precursors for low temperature ALD.

11:40 AM TF+AP-TuM-12 Atomic Layer Deposition of Aluminum, Hafnium and Zirconium Oxyfluoride Films with Tunable Stoichiometry
Neha Mahuli, Jasmine Wallas, Steven M. George (University of Colorado at Boulder)

Metal oxyfluoride films are chemically robust and resistant to plasma corrosion. This study explored the atomic layer deposition (ALD) of various metal oxyfluorides including aluminum oxyfluoride (AlOxFy), hafnium oxyfluoride (HfOxFy) and zirconium oxyfluoride (ZrOxFy). Different deposition techniques were developed to obtain tunable stoichiometry of these metal oxyfluoride films. The complicating factor was fluorine/oxygen exchange and the diffusion of fluorine in the oxyfluoride film.

For the metal oxyfluoride deposition, H2O and HF were used as the oxygen and fluorine sources. Al(CH3)3 was used as the Al source. Hf and Zr alkylamide precursors were used as the Hf and Zr sources. The metal oxyfluorides were deposited using either (1) the halide-exchange method or (2) the nanolaminate method. These two methods gave rise to tunable stoichiometry from pristine metal oxide to adjustable oxyfluoride to pristine metal fluoride.Both methods were evaluated using in situ quartz crystal microbalance (QCM) measurements and ex situ X-ray photoelectron spectroscopy (XPS) analysis.

The halide-exchange method is based on the facile exchange of oxygen by fluorine from HFbased on following equation (MOx + yHFàMFy + xH2O). HF exposures after deposition of the metal oxide easily replaced oxygen with fluorine. The fluorine also diffused into the underlying metal oxide film as a function of time and temperature. The compositional control is achieved either using metal oxide layers of various thicknesses or different HF pressures. The rate of fluorine diffusion determined by in-situ QCM as well as ex-situ XPS was assigned as AlOxFy>ZrOxFy>HfOxFy.

The stoichiometry was also tuned using the nanolaminate method with different numbers of metal oxide ALD and metal fluoride ALD cycles. One supercycle (of ratio n:m) here consists of ‘n’ layers of metal oxide followed by ‘m’ layers of metal fluoride. The F:O ratios in the metal oxyfluoride films using this mechanism could be controlled over the full range of compositional ratios. The rate of fluorine diffusion in these systems was also found to be AlOxFy>ZrOxFy>HfOxFy.

View Supplemental Document (pdf)
12:00 PM TF+AP-TuM-13 ALD on Thermally and Chemically Treated Fused Silica and Glass Surfaces
Tahereh Gholian Avval, Grant Hodges, Victoria Carver, Matthew Linford (Brigham Young University)
Silanol (SiOH) and surface hydroxyl (OH) groups strongly affect the absorption behavior of species onto silica (SiO2) surfaces. The density of hydroxyl (OH) groups on these surfaces are important for initiating and producing conformal thin films by atomic layer deposition (ALD). The combination of chemical and thermal treatments of surfaces in ALD increases insight into their chemistry. Different chemical treatments, including cleaning solutions of industrial importance, affect surface silanol density and consequently subsequent thin film growth by ALD. In this work, we describe the density of hydroxyl (OH) groups on fused silica surfaces and their effect on ALD. In particular, we hydroxylated pieces of fused silica with hydrofluoric acid (HF) and then heat treated it at 200, 500, 700 and 900 °C. The samples then underwent different numbers of ALD cycles to produce thin films of Al2O3. As expected, analysis of these surfaces by X-ray photoelectron spectroscopy (XPS) showed that higher temperatures lead to lower aluminum loading/deposition. As a result, heat-treated samples at 900 °C appear to be significantly depleted in surface silanols and lagged behind in thickness compared to the other samples. Other chemical treatments of industrial relevance for silica and glass were also considered in this study, including hydrochloric acid (HCl), tetramethylammonium hydroxide (TMAH), and a detergent. This information is important for ALD deposition of this important material.
Session Abstract Book
(317KB, Apr 26, 2020)
Time Period TuM Sessions | Abstract Timeline | Topic TF Sessions | Time Periods | Topics | AVS 66 Schedule