AVS2015 Session PS1-TuA: Novel Materials and Etch Chemistry

Tuesday, October 20, 2015 2:20 PM in Room 210A

Tuesday Afternoon

Time Period TuA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2015 Schedule

Start Invited? Item
2:20 PM PS1-TuA-1 Low-Damage Etching Technology for Nitride Semiconductor Devices
Makoto Sekine, Zecheng Liu, Jialin Pan, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Masaru Hori (Nagoya University, Japan)

Plasma etching of GaN is necessary for fabricating high performance Nitride semiconductor devices. However plasma exposure causes defects and residues, which could reduce the performances. It is required that the plasma induced damages (PID) should be removed especially in the power electronics device fabrication. Post annealing could recover PID, but preferential N loss causes Ga-rich surface. The stoichiometric surface was reported to be deteriorated with annealing up to 1000°C after N2+ sputtering at room temperature (RT)1, We have revisited the surface reactions at high temperature (HT). We made a HT etcher. A VHF-ICP was generated and 13.56-MHz rf power was applied to the substrate. Sample stage can be heated up to 800°C by an IR lamp as fast as 100°C/s.

GaN surface was roughened with 9.88 nm RMS as exposed to Ar plasma at 600°C. XPS showed Ga metallic state or Ga cluster with the shoulder peak at 18.9 eV in Ga 3d. In contrast, no significant roughness increase (1.46 nm) was observed after N2 plasma at 600°C. Nevertheless, the HT N2 plasma failed to provide a preferable PL property2.

For Cl2 plasma, PL spectra of GaN etched at HT showed no obvious variation of yellow luminescence at 2.2-2.3 eV, suggested no Ga vacancies were formed. We focused on the near band edge emission (NBE) at 3.4 eV. The PID in bulk GaN behave as nonradiative centers of deep level states, which trap and recombine carriers. The NBE intensities for all GaN films etched at HT were degraded and as a consequence, the etching process at 400°C was optimum, because of its preferable stoichiometry, PL and smooth surface.

By covering the sample surface with a transparent window with or without the gap, we separated the effects of ion, photon and radical exposures. It was found the ion-induced damages dominated at 300°C, then decreased at 400°C, and removed at 500°C. Furthermore, photon-induced damages were not observed up to 400°C. Above 500°C, PL properties were degraded by photon. Since photons could not mainly induce damages at RT4, the synergy with thermal annealing enhanced the PL deterioration.

Therefore, by the results of depressed ion-induced damages and undeveloped photon-induced damages with a smooth surface at 400°C, the Cl2 plasma etching at 400°C is most appropriate to the low-damage process for GaN-based devices.

This work was supported by the Knowledge Cluster Initiative (Second Stage), MEXT, Japan.

1. Z.-Q. Fang, et al., Appl. Phys. Lett. 82, 1562 (2003).

2. R. Kometani, et al., Appl. Phys. Express 6, 056201 (2013).

3. Z. Liu, et al., To be published in Jpn. J. Appl. Phys. 54, (2014).

4. M. Minami, et al., Jpn. J. Appl. Phys. 50, 08JE03 (2011).

3:00 PM PS1-TuA-3 Limitation of Surface Defects in GaN Deep Etching
Nicolas Gosset, Thomas Tillocher (GREMI CNRS/Université d'Orléans, France); Julien Ladroue (ST Microelectronics, France); Philippe Lefaucheux (GREMI CNRS/Université d'Orléans, France); Mohamed Boufnichel (ST Microelectronics, France); Rémi Dussart (GREMI CNRS/Université d'Orléans, France)

Gallium nitride (GaN) is a III-V semiconductor with attractive physical properties for power microelectronics. It actually combines a wide and direct bandgap, a high electron mobility and strong chemical bonds. Therefore, GaN power components can operate under higher temperature, higher power and higher frequency than silicon devices.

For Schottky diodes with pseudo-vertical structure, GaN MESA features with a height between 6 and 10 µm are required. This is considered as deep etching, compared to the thickness typically etched for light emitter devices (a few hundred nm). Ion-enhanced plasma etching with chlorine-based chemistry is commonly used for GaN deep etching.

Previous studies have already shown that GaN can be etched in Cl2/Ar inductively coupled plasmas (ICP) with etch rates as high as 1 µm.min-1. However, after etching, the etched surface exhibits three types of defects such as columns, pits and a high roughness (“White GaN”). Columns and pits are related to nanopipes and dislocations created during epitaxial growth of GaN. In addition, oxygen based species, coming from either the SiO2 coverplate and mask, or the alumina/quartz tube, play an important role in the columnar regime. They preferentially oxidize dislocations, leading to the observed columns. “White GaN” origin is a surface over-oxidation. Such defects must be suppressed in order to provide good electrical contact.

A comparative study of GaN etching has been performed on different reactors: an ICP reactor with a diffusion chamber, another ICP reactor with no diffusion chamber, a dual-frequency capacitive reactor and an Ion Beam Etching system. The etched surface state was subsequently analyzed by means of SEM, EDX, AFM and XPS. This study revealed a correlation between the etch rate, the surface defects density and the surface composition. Actually, with the IBE chamber, the etch rate was the lowest and no defects were observed on the surface. The dual-frequency capacitive reactor allows the highest etch rate and no defects were found on the surface. But, the Ga:N relative density was altered in both cases, resulting in poor electrical properties. Consequently, a trade-off should be made between process performances and electrical properties. ICP chambers met this compromise.

Moreover, it has been demonstrated that surface fluorination, by addition of a fluorine-containing gas, leads to a limitation of surface defects. Fluorine species are able to protect GaN surface with the formation of a GaxFy -like “passivation layer”, detected by XPS. This result led to the development of a defect-free time-multiplexed etching process consisting in alternating etching and passivation steps.

3:20 PM PS1-TuA-4 In Situ Monitoring of GaN in Process Plasma
Daisuke Ogawa, Yoshitaka Nakano, Keiji Nakamura (Chubu University, Japan)

Next to a great success of blue LEDs, gallium nitride (GaN) is now looking for another success, the application with high-power devices. The wide bandgap of GaN is attractive when considering the integration and fabrication of devices on a substrate. The integration process requires the use of plasma, but the plasma sometimes creates undesirable change on devices, noticed as plasma-induced damages (PID). Therefore, it is important to understand how process plasma creates changes on GaN and what mainly causes the change.

In-situ monitoring is one of ways to understand the damage development of GaN. Towards to the goal, we have used steady-state photoluminescence (PL) emitted from the surface of GaN. The PL represents the optically-transferrable intermediate states that are mainly created with the defects and impurity in GaN. The depth of the PL measurement depends on the wavelength of excitation. Our experiment setup uses 313 nm wavelength for the excitation so that we basically monitored the change of the intermediate states down to ~75 nm below the top surface.

Our in-situ measurements showed that the exposure of argon plasma changed PL spectrum from the GaN; the total PL intensity turned down to 33 % of the original spectrum. We also increased the chuck bias, showing that the total PL intensity decreased even worse. This means that the argon ion affected the change of PL in our system assuming that plasma density stayed the same. We also made ex-situ measurements with X-ray photoelectron spectroscopy (XPS). The measurement showed that the change of atomic distribution was observed down to 4 nm at deepest. In this depth range, gallium and nitrogen atoms dissociated from the surface, and oxygen atoms defused into deeper levels. However, this depth was only ~5 percent of the depth where PL spectrum informs us. This means that the change of PL was caused by the structural change in GaN, such as crystal dislocation.

We also changed the gas that formed the plasma. In general, chlorine gas is utilized to etch GaN. In this measurement, we used the mixture gas of argon and chlorine with the ratio of 2:1. Interestingly, the PL from GaN stayed almost constant even when GaN was exposed into the plasma. We double-checked the etch rate, finding the rate at 100s nm/min. This result indicates that chlorine likely etched GaN without making a major change in the optical intermediate states even though argon could have made some damages during the plasma exposure.

In this presentation, we will show our latest analysis of damage development of GaN that is exposed in plasma, in particular, the plasma that is possibly used in the material process.

3:40 PM BREAK
4:20 PM PS1-TuA-7 Thermodynamic-aided Selection of Non-PFC Plasma Chemistries
Nicholas Altieri, Jack Kun-Chieh Chen, Luke Minardi, Jane P. Chang (University of California Los Angeles)

Continued reduction in the size of microelectronics and nanoscale features has necessitated the use of low-k dielectric interlayer materials in an effort to curtail parasitic capacitance and RC delay. Patterning these low-k films requires consideration of both etching efficacy and environmental impact. To address these issues, a generalized methodology is developed based on a thermodynamic approach to analyze etchants and additive gases to assist in selection of plasma chemistries whose environmental effects can be more easily mitigated.

Thermodynamics is an enabling tool for assessing a reacting system, such as plasma etching of carbon doped porous silica, specifically through analysis of Gibbs free energy. A system at equilibrium has reached a minimal Gibbs free energy which can be expressed as the sum of its constituents and their corresponding chemical potentials. With known reactants, potential products, and free energies of formation as inputs, the total Gibbs energy is minimized to calculate an output quantity of each species. This calculation was then repeated across a range of temperatures at fixed pressure. Using CF4 etching of silica as the reference and monitoring the formation of volatile etch product SiF4(g) via volatility diagrams, a range of carbon doped porous silica, a list of viable etchants including perfluorocarbon gases, NF3(g), CF3I(g), as well as additive gases such as H2(g) and NH3(g) are examined. Based on thermodynamic calculations, NF3(g), a non-PFC gas with high abatement efficiency was predicted to generate the highest pressure of SiF4(g) overall. CF3I(g), though calculated to be not as effective as NF3(g), is another alternative due to its short atmospheric lifetime and low global warming potential. On the other hand, H2(g) was found to be the most effective additive with fluorocarbon etchants.

CF4(g) and CHF3(g) were studied separately with varying hydrogen addition to validate the thermodynamic calculations. Optical emission spectroscopy was used in parallel to monitor atomic fluorine intensities at 685.6 and 703.7 nm as a function of H2(g) feed percentage. Discharges of CF4(g) mixed with 20% H2(g) and CHF3 with 10% H2(g) resulted in maximal etch rates of 215 nm/min and 166 nm/min respectively. A trend similar to etch rate dependence on feed composition was seen in the spectra of atomic fluorine, with maximal intensities recorded for CF4 and CHF3 at 20% and 10% H2, respectively.

4:40 PM PS1-TuA-8 Enhancing Selectivity for Self-Aligned Contact Etching by Employing Dual Fluorocarbon Etch Gas Processes
Jeffrey Shearer (IBM Research Division); Sebastian Engelmann, Robert Bruce, Edmund Sikorski (IBM Research Division, T.J. Watson Research Center); Ted Suzuki, Mark Nakamura, Azumi Ito (ZEON Chemicals L.P.); G. Matsuura, Hirokazu Matsumoto (Zeon Corporation, Japan); Blaze Messer, Kathleen Horvath, Andrew Metz (TEL Technology Center, America, LLC); John Arnold (IBM Research Division); Eric Joseph (IBM Research Division, T.J. Watson Research Center)
As the industry moves from 10nm node to 7nm node and beyond, self-aligned contact (SAC) etch is becoming one of the most critical and challenging process elements in logic chip manufacturing. Extremely high selectivites are required to adequately stop on spacer and hard mask materials in addition to the ever continuing trend of scaling critical dimensions (CDs). For the self-aligned contact etch this translates into a classic trade-off relationship between increased selectivity by fluorocarbon deposition and random etch stop due to ion/neutral flux imbalances at reduced dimensions.

Our team has recently introduced a new etch gas (C5HF7) which is able to etch by selective deposition of a fluorocarbon (FC) layer, where the elemental fluorine content was reduced due to feedgas optimization. Even though the C5HF7 gas yielded better protection of the spacer material than the comparable C4F6 process, adequate etch depth was not achieved in aggressive 10nm test structures (etch stop was observed).

We enhanced this process further by decomposing the etchant supply of the fluorocarbon gas by the use of dual FC etch chemistries. While one FC gas is targeted to deliver radicals for FC deposition and selectivity enhancement, another FC gas is added to supply FC radicals that promote the oxide etch. We are reporting our results from this approach by two trusts: On a more fundamental level, we evaluated the dual FC etch gas approach in detail, with gas optimization and a survey of multiple spacer materials. We found that for SiN spacer materials our C4F6 process showed selectivities of approx. 9, the C5HF7 process showed selectivities of up to 23 and the dual FC process showed selectivities of up to 38.

Furthermore, C5HF7 has been deployed for SAC applications in 10nm and 7nm product demonstrations. This paper will show that this new gas is able to match the performance of the C4F6 while at the same time significantly improving raw process time.

This work was performed by the Research Alliance Teams at various IBM Research and Development Facilities.

1M. Schaepkens, T.E.F.M. Standaert et al., J. Vac. Sci. Technol. A 17, 26 (1999)

2M. Schaepkens, G.S. Oehrlein and J.M. Cook, J. Vac. Sci. Technol. B18, 848 (2000)

3R.L. Bruce, T. Suzuki et al., AVS 61st Int. Symp. & Exhibit. (2014)

5:00 PM PS1-TuA-9 Pushing the Limits of Dielectric Etch with Novel Fluorocarbon Etch Gases
Robert Bruce (IBM Research Division, T.J. Watson Research Center); Ted Suzuki (ZEON Chemicals L.P.); Joe Lee (IBM Albany Nanotech Center); Eric Joseph, Sebastian Engelmann (IBM Research Division, T.J. Watson Research Center); Azumi Itou, Mark Nakamura (ZEON Chemicals L.P.); G. Matsuura (Zeon Corporation, Kawasaki, Japan); John Arnold (IBM Albany Nanotech Center); Edmund Sikorski (IBM Research Division, T.J. Watson Research Center)

As the semiconductor industry continues to drive critical dimensions smaller moving to 7nm technology node and beyond, the challenges to dielectric etch for BEOL fabrication become ever greater. Plasma etch using fluorocarbon passivation gas to establish selectivity and maintain straight profiles during pattern transfer has been the mainstay of patterning into dielectrics. We have previously reported the superior performance of etching SiO2 and low-k using the hydrofluorocarbon gas C5HF7, which had greater selectivities and reduced sidewall damage compared to other passivation gases such as C4F8 and C4F6. This was due to the greater deposition behavior of C5HF7 because of fluorine scavenging by intramolecular hydrogen. Using C5HF7, we have fabricated dual damascene structures in various low-k dielectrics ranging from k2.7 to 2.4. In addition, we have recently demonstrated low-k etch at 7nm node with superior profile control compared to C4F8-based etch. In this talk, we investigate the reasons behind the improved etch performance using C5HF7 compared to other passivation gases and potential future applications.

5:20 PM PS1-TuA-10 First-Principles Theoretical Investigation on Mechanism of New Transition Metal Etching Process using Oxygen and argon Neutral Beams and Ethanol Gas
Tomohiro Kubota, Yoshiyuki Kikuchi, Seiji Samukawa (Tohoku University, Japan)

Anisotropic and damage-free etching of transition metals (especially magnetic materials) is important for realization of MRAM. However, it is generally difficult to etch such materials with conventional plasma etching and Ar ion milling has been widely used which causes problems such as damage, re-deposition, and etc. Recently Gu et al. reported [1] that anisotropic and damage-free etching of transition metal (Ta, Ru, and Pt) is possible based on complex reaction of transition metal by introduction of ethanol gas and irradiation of argon/oxygen neutral beam [2]. It is expected the process proceeds as following: (1) metal surface is oxidized by bombardment of oxygen neutral beam, (2) ethanol molecules adsorb at the surface, and (3) metal complex like Ta(OC2H5)5 is formed by argon neutral beam bombardment. However, detail of the mechanism (especially, why oxidation is needed) was not clear. To understand the etching mechanism, computational investigation was performed using first principle calculation.

Calculations based on density functional theory and cluster model were performed using a SGI UV1000/2000 system in Institute of Fluid Science, Tohoku University.

First, adsorption of ethanol on tantalum oxide and metallic tantalum was investigated. As a result, it was found that ethanol adsorbs spontaneously on both surfaces. It means that adsorption step is not a reason why oxidation is needed for the etching.

Then, we investigated possible reaction which may occur after the adsorption of ethanol. It was found that O–H bond in the adsorbed ethanol can be dissociated, the H can be moved, and a new O–H bond can be formed between an oxygen atom in the metal oxide and the dissociated hydrogen (hydrogen movement). This should cause dissociation of Ta-O bonds in the oxide to proceed etching. Note that this process should not occur at metallic tantalum surface because it does not have oxygen atom to accept the moving hydrogen, and this should be the reason why oxidation is needed for etching.

Furthermore, it was found that collision of argon caused the hydrogen movement reaction mentioned above. It means that the hydrogen movement reaction and possibly tantalum etching can occur in the experimental condition under argon neutral beam irradiation.

Acknowledgement: We thank Dr. Nozawa from Tokyo Electron Ltd. for valuable discussions.

[1] X. Gu, Y. Kikuchi, T. Nozawa and S. Samukawa: J. Phys. D: Appl. Phys. 47 (2004), p. 322002.

[2] S. Samukawa, K. Sakamoto and K. Ichiki: Jpn. J. Appl. Phys. 40 (2001), p. L779.

[3] M. Yonemoto, K. Sano, K. Endo, T. Matsukawa, M. Masahara and S. Samukawa: Jpn. J. Appl. Phys. 48 (2009), p. 04C007.

5:40 PM PS1-TuA-11 Generalized Approach for Selecting Viable Plasma Chemistries in Patterning Magnetic Metals
Jack Kun-Chieh Chen, Taeseung Kim, Nicholas Altieri, Jane P. Chang (University of California Los Angeles)

As advanced memory devices begin to dictate the adoption of complex magnetic and multiferroic materials, overcoming the challenge of achieving high-fidelity patterning for these multifunctional films becomes imperative. Physics- and chemistry-based modeling affords tremendous understanding of elementary reaction mechanisms in plasma patterning; however, the parameters necessary for kinetic modeling are sometimes difficult to obtain experimentally for novel multifunctional compounds. Developing a comprehensive framework for selecting viable chemistries in plasma patterning of magnetic metals has the potential to reduce the time and cost associated with design of experiments.

In this work, a generalized methodology, combining thermodynamic assessment of various etching chemistries and kinetic verification of etching efficacy, is proposed. To screen various chemistries, reactions between the dominant vapor phase/condensed species at various partial pressures of reactants are first considered. The volatility of etch product is determined to aid the selection of viable etch chemistry. Magnetic tunnel junction (MTJ) based MRAM (Magnetic Random Access Memory) was used as a case study to address the challenge of patterning constituent materials of multilayers. Ar ion beam milling was a traditional method in patterning MRAM devices; however, sidewall re-deposition results in electrical shorts as the features become smaller with higher aspect ratios. Selected metals (Fe, Co, Pt) and their alloys within the MRAM were studied by the generalized approach. To validate the thermodynamic calculation, films were patterned using a modified reactive ion etch process of halogen discharge with subsequent H2 plasma exposure. To further improve selectivity to mask materials, a separate novel method of surface modification using Ar ion beam assisted chemical etch (IBACE) was then investigated.

The etch rate of Fe, Co, and Pt were enhanced 40%, 25%, and 20% respectively with secondary H2 chemistry. X-ray photoelectron spectroscopy (XPS) suggested chemical removal of non-volatile metal chlorides by H2 plasma. Moreover, characterization through superconducting quantum interference device (SQUID) proved that coercive field strength of magnetic alloy after Cl2 plasma can be recovered by additional H2 plasma exposure from 63.6 to 20.9 Oe. Etching of metals and alloys was further examined in organic solution by mass spectroscopy to verify formation of organometallic complexes predicted by thermodynamics. IBACE, a vacuum-compatible process was developed and proven to be effective in patterning magnetic metal stacks.

6:00 PM PS1-TuA-12 Short- and Damage-Free Process for Patterning Magnetic Tunnel Junctions for High-Density Application
Dunja Radisic, Laurent Souriau (IMEC, Belgium); Vasile Paraschiv (SC Etch Technology Solutions); Danny Goossens (IMEC, Belgium); Fumiko Yamashita, Nao Koizumi, Shigeru Tahara, Eiichi Nishimura (Tokyo Electron Miyagi Limited, Japan); Woojin Kim, Gabriele Donadio, Davide Crotti, Johan Swerts, Sofie Mertens, Tsann Lin, Sebastien Couet, Daniele Piumi, GouriSankar Kar, Arnaud Furnemont (IMEC, Belgium)

The short- and damage-free patterning still remains the major challenge for the STT-MRAM high-volume commercialization. High-volume reactive ion etching (RIE) based short- and damage- free magnetic tunnel junction (MTJ) patterning was developed and electrically tested for isolated devices of sizes starting from 200nm down to 75nm and below. There was a tight TMR distribution measured after patterning (standard deviation of 7-14% was achieved) indicating that the process was short-free and it had no detrimental effect on the stack magnetic performance. In addition, measured TMR showed no significant size dependence, i.e., the TMR value was in the same, constricted range, for devices of different sizes. The process yield was 100%, meaning that all of the measured devices were functional and fitting the narrow TMR distribution.

The basic patterning sequence consisted of noble gas-based dry etch in RIE reactor followed by an in-situ SiN encapsulation in the RLSA reactor (both from Tokyo Electron Limited). MTJ stack was CoPt-based bottom pin with perpendicular anisotropy. Either TiN or Ta was used as a hard mask for etching. The stack was partially etched using medium bias process which resulted in some metallic re-deposition on the sidewalls. The remaining stack was etched and the sidewall residues efficiently removed using the high bias process step. For both steps, only noble gasses were used, so that the damage by reactive plasma species was prevented. The device performance dependency on the temperature in RIE reactor was studied by using either -20ºC or 60ºC ESC. The effect of the post-etch oxidation, aimed to convert possible metallic remains on the sidewalls into non-conductive metal oxides, was also tested. The in-situ SiN encapsulation was applied after etching to prevent possible stack properties modifications due to interaction with the atmosphere. The in-situ etch and deposition capability allowed for patterning sequences where multiple etching and deposition steps were combined. The approach with whole stack etch followed by encapsulation, as well as the approach with partial stack etch (down to MgO), followed by SiN spacer formation, remaining stack etch and final SiN encapsulation were used. In the second case, the SiN spacer formed after etching stopping in MgO was intended to act as a dielectric medium preventing the shorts caused by re-deposition.

The best device performance was achieved by using Ta HM, approach with partial etching stopping in MgO, spacer formation, followed by the remaining stack etching and final encapsulation. The oxidation steps were applied after etch stopping in MgO, and after etching of remaining MTJ stack.

Time Period TuA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2015 Schedule