AVS2015 Session PS+SS+TF-WeM: Atomic Layer Etching (ALE) and Low-Damage Processes I

Wednesday, October 21, 2015 8:00 AM in Room 210A

Wednesday Morning

Time Period WeM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2015 Schedule

Start Invited? Item
8:00 AM PS+SS+TF-WeM-1 Atomic Layer Etching to Escape Process Tradeoffs for 7nm Technology and Beyond
Alok Ranjan, Mingmei Wang, Sonam Sherpa (TEL Technology Center, America, LLC); Peter Ventzek (Tokyo Electron America, Inc.)

With shrinking critical dimensions, dry etch faces more and more challenges. Minimizing each of aspect ratio dependent etching (ARDE), bowing, undercut, selectivity, and within die uniformly across a wafer are met by trading off one requirement against another. The problem of trade-offs is especially critical for 10nm and beyond technology. At the root of the problem is that roles radical flux, ion flux and ion energy play may be both good and bad. Increasing one parameter helps meeting one requirement but hinders meeting the other. Self-limiting processes like atomic layer etching (ALE) promise a way to escape the problem of balancing trade-offs. ALE [1] was realized in the mid-1990s but the industrial implementation did not occur due to inherent slowness and precision loss from improper balance of self-limiting passivation and its removal processes. In recent years interest in ALE has revived and strides have been made by etch equipment manufacturers primarily through temporal, spatial or combination of these two pulsing approaches. Moderate success has been reported with some of the trade-offs purported to be managed. Difficulty meeting requirements is due to the inability of plasma technologies to control ion energy at low and precise values.

We overcome many of the practical implementation issues associated with ALE by precise passivation process control using plasmas with low electron temperature. Very low plasma potential, high radical flux and high bombardment flux are indispensable for achieving ALE. We demonstrate that ALE can achieve zero ARDE and infinite selectivity. Experimental results will highlight that careful consideration of surface process physics is required to achieve ALE and not simply “slow etching”. Without profile control, ALE is not useful. Profile control will be shown to rely on careful management of the ion energies and angles. For ALE to be realized in production environment, tight control of IAD is a necessary. Experimental results are compared with simulation results generated using MCFPM [2] and theoretical scaling models to provide context to the work.

[1] S. Athavale and D. J. Economou, J. Vac. Sci. Technol. B, 14, 3702 (1996).

[2] M. Wang and M. J. Kushner, J. Appl. Phys., 107, 023308 (2010)

8:40 AM PS+SS+TF-WeM-3 Understanding of new processes for Atomic Layer Etching
Florentin Chambettaz, Laurent Vallier, Jérôme Dubois (Univ. Grenoble Alpes-CNRS-CEA/Minatec-LTM,38000 Grenoble-France); Olivier Joubert (Univ. Grenoble Alpes-CNRS-CEA, France)

In the pace of downscaling in microelectronic, current plasma etching processes show their limits. Actually for critical dimension smaller than 10 nm, atomic precision has to be reached during etching. In this study we are developing an Atomic Layer Etching (ALE) process by focusing on the induced damages related to the chemical and physical interaction(s) with hydrogen (This subject has already been broached in other studies on graphene [1] or carbon nanotubes [2]) and helium plasmas. Hydrogen plasmas have been used for years in the microelectronic industry and studied in the fields of deposition (PECVD, Plasma Enhanced Chemical Vapor Deposition), surface processing (surface passivation, hydrogenation) and plasma etching [3]. However the mechanisms related to these processes are not fully understood yet mainly because hydrogen is an element with peculiar characteristics such its low mass and its electronegativity. Helium plasmas have been also used for many years in the microelectronics industry. Helium is often employed in plasma processes as an additives gas due to its low chemical reactivity and low mass. Consequently ionic species present in the plasma and their effect are well known. To modify the surface of ultrathin layers without damaging the materials, a very low ion bombardment is required (conditions similar to those obtained in a pulsed ICP reactor [4]). At the same time, high energy plasmas are required to obtain satisfying etch rates when several nanometers have to be etched away. In this study we focus on plasma etching of silicon nitride by hydrogen plasma exposure in a commercially available 300 mm reactor, in order to develop an ALE process for spacer etching of future 10nm transistors. Several process conditions are achieved with different ion energies and ion densities, on thin silicon nitride blanket samples. The generated damages in the structure were quantified with an Electron Spin Resonance (ESR) spectroscopy and electrical characterization. The effect of these etching processes on silicon nitride will be discussed.

1. E.Despiau-Pujo, A.Davydova, G.Cunge, L.Delfour, L.Magaud, and D. B.Graves, Journal of Applied Physics, 113 (2013)

2. A.Hassanien, M.Tokumoto, P.Umek, D.Vrbanic, M.Mozetic, D.Mihailovic, P.Venturini, and S.Pejovnik, Nanotechnology, 16, 278 (2005)

3. M.Sode, T.Schwarz-Selinger, and W.Jacob, Journal of Applied Physics, 113 (2013)

4. C. Petit-Etienne, M. Darnon, P. Bodart, M. Fouchier, G. Cunge, E. Pargon, L. Vallier, O. Joubert, and S.Banna, Journal of Vacuum Science & Technology B, 31 (2013)

9:00 AM PS+SS+TF-WeM-4 Self-Limited Ion Implantation for Precise Low-k Spacer Etching
Nicolas Posseme (Cea-Leti, Minatec, France); Maxime Garcia-Barros, Christian Arvet (ST Microelectronics); Olivier Pollet (Cea-Leti, Minatec); Sebastien Lagrasta, Patrick Maury (ST Microelectronics); François Leverd (ST Microlectronics); Claire Richard (ST Microelectronics); Sébastien Barnola (Cea-Leti, Minatec, France)

With aggressive device shrinking, parasitic capacitances through the spacer become a greater contributor to the total device capacitance. This issue is exacerbated by the common use of SiN spacers. Since SiN has a relatively large dielectric constant (k~7.5), a simple approach to reduce capacitive coupling through the spacer is to supplant it with a low-k material [1]. Therefore, the reduction of spacer k value is a key for the high performance devices. In this context, Low-k films like SiCO, SiOCN or SiBCN have been proposed for the C014 technology node to replace the traditional silicon nitride investigated.

Today, the Low-k spacer etching is considered as one of the most challenging step in the high performance FDSOI devices realization. A trade-off has to be found between silicon germanium (or silicon) recess, foot formation and CD control impacting the device performances. The etch process must also be compatible with epitaxial step.

In a recent study, we proposed a new etch approach [2] for silicon nitride spacer etching. This new etching process is based on a Self-Limited Ion Implantation by plasma. In a first step, the film is modified in volume by a Hydrogen plasma performed in a conventional etch tool (CCP or ICP) followed in a second step by a 1%HF wet cleaning to remove the modified layer selectively to the non-modified material. We demonstrated that the silicon germanium recess was estimated to less than 6A with no foot formation, while a silicon germanium has grown by epitaxy without defects [2].

In this study, we propose to evaluate the compatibility of this new etch approach with low-k films like SiCO or SiCBN. By playing on plasma operating conditions performed in ICP etch tool, we will demonstrate that the Low-k films can accurately be etched with atomic layer control, stopping on SiGe or Si. The key parameters for such etch precision are identified as H ion energy and H ion dose implanted in the low-k film. The etch mechanisms to remove the modified layer by wet cleaning process will be understood on blanket wafers thanks to XPS and infrared spectroscopy analyses.

Finally the compatibility of this new Low-k spacer etching process with the epitaxial step will also be presented for C014 FDSOI integration.

References

[1] H. Niebojewski, C. Le Royer, Y. Morand, M-A. Jaud, O. Rozeau, E. Dubois, T. Poiroux, “ Extra-low Parasitic Gate-to-Contacts Capacitance Architecture for sub-14nm Transistor Nodes”, IEEE Euro SOI conference, 2013

[2] N.Posseme, O. Pollet, S.Barnola, “Alternative process for thin layer etching: Application to nitride spacer etching stopping on silicon germanium”, Appl. Phys. Lett. 105, 051605 (2014)
9:20 AM PS+SS+TF-WeM-5 Self-limiting Cyclic Etching of Silicon Nitride using Infrared Irradiation
Nobuya Miyoshi (Hitachi, Japan); Hiroyuki Kobayashi, Kazunori Shinoda (Hitachi); Miyako Matsui (Hitachi, Japan); Masatoshi Miyake, Kenji Maeda (Hitachi); Yutaka Kouzuma (Hitachi High-Technologies, Japan); Yutaka Kudo, Tadamitsu Kanekiyo, Masaru Izawa (Hitachi High-Technologies)

Advanced semiconductor device fabrication requires precise control of device dimensions down to the atomic level. Current efforts to achieve atomic level control are focused on cyclic etching that repeatedly forms and removes a reactive layer on a surface. The cyclic etching of SiO2, for example, has been achieved by repeatedly forming and removing of ammonium hexafluorosilicate ((NH4)2SiF6) [1]. The essential point in realizing atomic level control is achieving a self-limiting process.

Fluorocarbon-based plasma produces a (NH4)2SiF6 layer on silicon nitride surfaces [2]. We have reported the preliminary results of the cyclic etching of silicon nitride using the formation and removal of the (NH4)2SiF6 layer [3]. An analysis using x-ray photoelectron spectroscopy (XPS) revealed that the (NH4)2SiF6 layer formed on silicon nitride after exposure to fluorocarbon-based plasma and was desorbed by annealing the sample.

In this study, the removal of the (NH4)2SiF6 layer using IR irradiation was investigated to achieve high-throughput cyclic etching. The reactive layer of (NH4)2SiF6 was formed on a silicon nitride sample after exposure to radicals in fluorocarbon-based plasma. After formation of the reactive layer, IR light was irradiated to the sample for removal. The sample surface was analyzed by XPS, and the dependence of the etching depth on the radical exposure time was investigated. The temperature of the sample increased over 160°C under IR irradiation for 10 s. A nitrogen 1s peak at 402 Ev, which is attributed to (NH4)2SiF6, disappeared after IR irradiation for 10 s. This result shows that IR irradiation is expected to result in fast removal of the reactive layer within 10 s. The etching depth after IR irradiation saturated at 1 nm as the radical exposure time was increased to over 300 s. Therefore, a self-limiting process for silicon nitride was obtained by forming and removing the (NH4)2SiF6 layer. Finally, the cyclic etching was investigated by repeatedly forming and removing the reactive layer. The number of cycles was changed between 1 and 10. The total etching depth increased linearly with the number of cycles, demonstrating the cyclic etching of silicon nitride with high precision.

[1] H. Nishio, et al., J. Appl. Phys. 74, 1345 (1993).

[2] W. R. Knolle et al., J. Electrochem. Soc. 135, 2574 (1988).

[3] K. Shinoda et al., AVS Atomic Layer Etching workshop 2015 (2015).
9:40 AM PS+SS+TF-WeM-6 Prospects for Thermal Atomic Layer Etching: Materials and Selectivity
Steven George, Younghee Lee, Jaime DuMont (University of Colorado at Boulder)

Thermal atomic layer etching (ALE) of Al2O3 and HfO2 has recently been demonstrated using sequential, self-limiting reactions [1-3]. Al2O3 and HfO2 ALE were performed using Sn(acac)2 and HF as the reactants [1-3]. Recent work has also shown that Al2O3 ALE can be accomplished using Al(CH3)3 and HF as the reactants. The ALE reaction mechanism is believed to involve fluorination and ligand-exchange. For Al2O3 ALE using Sn(acac)2 and HF, HF exposures convert Al2O3 to AlF3. Sn(acac)2 then accepts F from AlF3 and donates acac to AlF3 to produce volatile Al(acac)3 or AlF(acac)2.

The prospects for thermal ALE are very promising. Thermochemical calculations suggest that many materials should be etched with similar reactions. Metal oxides, metal nitrides, metal phosphides, metal arsenides and elemental metals can all be fluorinated with fluorine reactants such as HF or XeF2 to form the corresponding metal fluoride. Ligand-exchange reactions can then be conducted with a variety of metal precursors that accept fluorine from the metal fluoride and donate one of their ligands to the metal in the metal fluoride. The metal reaction products then can leave the surface if they are stable and volatile. Preliminary results for GaN etching suggest that metal nitrides are good candidates for thermal ALE.

The metal fluoride reaction products produced by the ligand-exchange process provide pathways for selectivity during thermal ALE. Selectivity can arise depending on the stability of the metal reaction product. For example, Sn(acac)2 is a metal beta-diketonate that donates acac ligands to the metal in the metal fluoride. Because most metals bind with acac ligands, Sn(acac)2 may not lead to significant selectivity. In contrast, Al(CH3)3 is a metal alkyl that donates CH3 ligands to the metal in the metal fluoride. Because some metals do not easily form sigma-bonds to bond to CH3 ligands, more complete selectivity between different materials may be expected for Al(CH3)3. The selectivity observed between Al2O3 and ZrO2 etching will illustrate this concept.

1. Younghee Lee and Steven M. George, “Atomic Layer Etching of Al2O3 Using Sequential, Self-Limiting Thermal Reactions with Sn(acac)2 and HF”, ACS Nano 9, 2061 (2015).

2. Younghee Lee, Jaime W. DuMont and Steven M. George, “Mechanism of Thermal Al2O3 Atomic Layer Etching Using Sequential Reactions with Sn(acac)2 and HF” Chem. Mater. (In Press).

3. Younghee Lee, Jaime W. DuMont and Steven M. George, “Atomic Layer Etching of HfO2 Using Sequential, Self-Limiting Thermal Reactions with Sn(acac)2 and HF”, J. Solid State Sci. Technol. 4, N5013 (2015).

10:00 AM BREAK - Complimentary Coffee in Exhibit Hall
11:00 AM PS+SS+TF-WeM-10 Atomic Layer Etching of Al2O3 Using Sequential, Self-Limiting Thermal Reactions with Trimethylaluminum and Hydrogen Fluoride
Younghee Lee, Jaime DuMont, Steven George (University of Colorado, Boulder)

A new approach for the atomic layer etching (ALE) of Al2O3 was demonstrated using sequential, self-limiting thermal reactions with trimethylaluminum (TMA) and hydrogen fluoride (HF) as the reactants. Previously, Al2O3 thermal ALE was reported using Sn(acac)2 and HF as the reactants [1,2]. HfO2 ALE was also demonstrated using Sn(acac)2 and HF as the reactants [3]. This new approach using TMA expands the variety of ALE reactants and excludes the possibility that Sn could be left on the etched Al2O3 film.

Quartz crystal microbalance (QCM) experiments monitored Al2O3 ALE at temperatures from 275-325°C. The Al2O3 ALE was linear versus number of TMA and HF reaction cycles. The QCM studies showed that the sequential TMA and HF reactions were self-limiting versus reactant exposure. The QCM analysis measured a mass change per cycle (MCPC) of -16 ng/(cm2 cycle) at 300°C. This MCPC corresponds to an Al2O3 etch rate of 0.53 Å/cycle. X-ray reflectivity analysis confirmed the linear removal of Al2O3 and etching rates. Fourier transform infrared spectroscopy measurements also monitored Al2O3 ALE by observing the loss of infrared absorbance from Al-O stretching vibrations.

Al2O3 ALE is believed to follow the reaction: Al2O3 + 4Al(CH3)3 + 6HF → 6AlF(CH3)2 + 3H2O. The proposed reaction mechanism involves fluorination and ligand-exchange. The HF exposure fluorinates Al2O3 and forms AlF3 with H2O as a reaction product. During ligand-exchange, Al(CH3)3 accepts F from AlF3 and donates CH3 to AlF3 to produce volatile AlF(CH3)2 reaction products. Similar reaction mechanisms based on fluorination and ligand-exchange reactions may extend the range of thermal ALE to a wide variety of additional materials.

1. Younghee Lee and Steven M. George, “Atomic Layer Etching of Al2O3 Using Sequential, Self-Limiting Thermal Reactions with Sn(acac)2 and HF”, ACS Nano 9, 2061 (2015).

2. Younghee Lee, Jaime W. DuMont and Steven M. George, “Mechanism of Thermal Al2O3 Atomic Layer Etching Using Sequential Reactions with Sn(acac)2 and HF” Chem. Mater. (In Press).

3. Younghee Lee, Jaime W. DuMont and Steven M. George, “Atomic Layer Etching of HfO2 Using Sequential, Self-Limiting Thermal Reactions with Sn(acac)2 and HF”, J. Solid State Sci. Technol. 4, N5013 (2015).

11:20 AM PS+SS+TF-WeM-11 Low Damage Etch Chamber for Atomic Layer Etching
Leonid Dorf, Shahid Dorf, Tony Monroy, Kartik Ramaswamy, Kenneth Collins, Ying Zhang (Applied Materials)

The use of novel, ultra-sensitive materials requires low-damage plasma etching with atomic layer precision, which imposes progressively stringent demands on accurate control over ion energy and radical composition during plasma processing. Using electron sheet beam (e-beam) parallel to the substrate surface to produce plasma in a processing chamber provides an order of magnitude reduction in electron temperature Te (~ 0.3 eV) and ion energy Ei (< 2 eV without applied bias) compared to conventional plasma technologies, thus making electron beam plasmas an ideal candidate for processing features at 5 nm and below. Furthermore, since dissociation is performed only by high-energy beam and not plasma electrons, and the dissociation cross-section drops off considerably at beam energies of about 1–2 keV, the beam created plasma is typically poor in radicals, which allows an independent control over plasma radical composition. In this presentation, we describe the Low Damage Etch Chamber (LoDEC) for atomic layer etching (ALE). The apparatus consists of (1) an e-beam source for creating radical-poor, low-Te plasma in the processing chamber, (2) a remote plasma source (RPS) for producing and supplying radicals to the substrate, and (3) a bias generator for creating the voltage drop (with fine control in 0 – 50 V range) between the substrate and the plasma to accelerate ions over etch-threshold energies. Using patterned wafers, we have developed low-bias power (0 – 10 W) processes resulting in very high selectivity (as per high-resolution TEM images) of Si3N4 to SiO2 and poly-Si in fluorocarbon based chemistries. In application to ALE, we note that one existing approach to ALE of Si prescribes injecting Cl atoms to passivate the surface, and then replacing the processing gas with Ar and applying bias to the substrate to initiate the etching. Once the passivation layer is removed, the etch stops, provided Ar+ ions have energies below sputtering threshold. By repeating passivation and etching steps, this scheme can be used to remove silicon in equally thick portions composed of one to a few atomic layers (few Amgstroms), without tight control over the duration of the bias part of the cycle. In LoDEC, this recent pulsed-ALE technique can be performed at ion energies much lower than that in conventional tools, thus minimizing damage to the processed materials. LoDEC also allows implementation of a unique, truly low damage, continuous-ALE technique by using electron beam to create plasma with very low ion energies (with or without an application of low-power bias to accelerate ions) and RPS to create radicals. The results of ALE experiments in LoDEC will be presented.

11:40 AM PS+SS+TF-WeM-12 Modeling of Electron-Beam Generated Plasmas: Validation and System Design
Shahid Rauf, Ankur Agarwal, Leonid Dorf, Kenneth Collins (Applied Materials, Inc.); David Boris, Scott Walton (US Naval Research Laboratory)

Plasmas generated using energetic electron beams have unique properties that make them attractive for emerging plasma processing applications. In the work done at the Naval Research Laboratory, [1] it has been demonstrated that electron temperature (Te) in the electron-beam plasmas generated in molecular gases is typically < 0.6 eV while electron densities are comparable to those obtained in radio-frequency (RF) inductively and capacitively coupled plasmas. In addition, the ions and radicals are primarily produced by highly energetic electrons (few keV) instead of electrons in the tail of a low energy distribution. The plasma chemistry in electron-beam generated plasmas is therefore significantly different than RF plasmas with a much higher ion to neutral radical density ratio in electron beam plasmas. As feature dimensions shrink below 20 nm in microelectronics devices with atomic level precision required during manufacturing, the unique properties of electron-beam generated plasmas (low Te, low ion energy and unique chemistry) are becoming attractive for plasma processing in the semiconductor industry.

This paper focuses on a multi-dimensional computational model for electron-beam generated plasmas. A fluid model for the bulk plasma is coupled with a Monte Carlo kinetic model for beam electrons. The fluid plasma model uses the drift-diffusion approximation for electrons and negative ions. The momentum equation is solved for positive ions. The model includes the effect of magnetic field on charged species transport. The Monte Carlo model for beam electrons considers electron motion in the ambipolar electric field and externally imposed static magnetic field. Additionally, important collision processes including elastic collisions, ionization, excitation, dissociation and dissociative attachment are considered during the Monte Carlo simulation.

The computational model is validated in Ar, Ar/N2 and O2 plasmas using probe measurements over a range of gas pressures and electron beam properties. One factor that has important implications on quantitative accuracy of the model is the influence of magnetic field on electron transport properties. The paper will discuss the classical transport model as well as variations based on semi-empirical approximations. The validated model is applied to the design of electron beam based plasma processing systems.

This work was partially supported by the Naval Research Laboratory Base Program.

[1] S.G. Walton et al., ECS Journal of Solid State Science and Technology, 4 (6) N5033-N5040 (2015)

12:00 PM PS+SS+TF-WeM-13 Enhanced Reaction Rate and Precursor Transport in Focused Electron Beam Induced Etching Via Pulsed Laser Assistance
JooHyon Noh (University of Tennessee); Jason Fowlkes (Oak Ridge National Laboratory); Rajendra Timilsina, Michael Stanford, Brett Lewis, Philip Rack (University of Tennessee)

Focused electron-beam-induced etching (FEBIE) is a versatile, selective or direct write nanomaterials etching technique, and is an alternative to focused ion beam (FIB) etching. FIB etching can cause collateral sub-surface damage due to knock-on collisions and ion implantation. FEBIE in contrast is minimally invasive because of the low electron mass and offers high etch selectivity between different materials. Additionally, the FEBIE process has better spatial resolution due to the smaller beam spot size. However, the low FEBIE etch rate has been a limiting factor for high-throughput applications.

The FEBIE process is governed by an electron-induced reaction with a precursor at the substrate surface, resulting in the volatile etch by-products. This complex process can be rate limited by different mechanisms depending on the electron and precursor parameters, the electron stimulated reaction rates, and the by-product volatility (or residence time). While substrate heating can reduce the by-product residence time, the higher temperature concomitantly decreases the reactant residence time, which can reduce the reactant equilibrium coverage and result in the electron stimulated etching rate. In order to enhance the etch rate, we introduce a laser-assisted focused electron-beam-induced etching (LA-FEBIE) process which emulates an atomic layer etching process. The focused electron beam catalyzes the first half reaction which forms a pseudo-volatile byproduct. The periodic and appropriately synchronized pulsed laser can locally and briefly raise the surface temperature, which can affect the reactant and byproducts and facilitate the reaction kinetics. In this presentation we will overview the laser-assisted electron beam induced etching of Ti with a XeF2 gas chemistry and will correlate the mechanisms to a selected area atomic layer etching process. We will show results that the Ti electron stimulated etch rate via the XeF2 precursor can be enhanced up to 6 times with an intermittent pulsed laser assist. The etching evolution is correlated to in situ stage current measurements and scanning electron micrographs as a function of time. Other relevant work on conventional fluorine-based titanium plasma etching suggests the mechanism of Ti-F etching is attributed to the reaction of F radicals with Ti to form TiFx products; where progressive fluorine incorporation drives x towards the volatile product of TiF4. Notably TiF3 is a stable solid at room temperature. The increased etch rate with laser assistance is attributed to photothermally enhanced Ti−F reaction and TiF4 desorption and in some regimes enhanced XeF2 surface diffusion to the reaction zone.

Time Period WeM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2015 Schedule