AVS2013 Session PS2-TuA: Deep Etch Processes for Vias, Trenches and MEMS

Tuesday, October 29, 2013 2:00 PM in Room 104 C

Tuesday Afternoon

Time Period TuA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2013 Schedule

Start Invited? Item
2:00 PM PS2-TuA-1 Plasma Deep Etching of Silicon, Titanium and Gallium Nitride for Microtechnology
Rémi Dussart (GREMI CNRS/Université d'Orléans, France)

Plasma deep etching is a necessary process step for the fabrication of most of microelectronic components, especially in power microelectronics and in MEMS technology. Although several etching processes were developed for silicon, deep etching of other materials such as titanium and gallium nitride is also of interest for innovative microdevices.

In this paper, deep etching of silicon using the so-called cryogenic process will be presented and compared with other processes. We will show and explain our characterization experiments by mass spectrometry, ellipsometry and in-situ XPS, which were carried out to investigate physical and chemical mechanisms involved in the silicon cryoetching process. These results were also used to develop the so-called STiGer cryoetching process, which will be also introduced. This particular process offers some advantages of the standard cryogenic process and is more robust.

Titanium deep etching using both fluorine and chlorine based chemistries was investigated by our group. Titanium is particularly interesting for bio MEMS applications. We developed the so-called APETi process to avoid roughness and increase the etch rate. With this process, we were able to etch up to 300 µm deep ring structures.

Finally, we will also show our process capabilities for deep GaN etching. For this material, a chlorine based chemistry is necessary to form volatile species. Measurements by Langmuir probe, by optical emission spectroscopy and by mass spectrometry were carried out to characterize the plasma in the different tested conditions. We will show that the material of the coverplate can play an important role in the etching mechanisms. Three types of defect were observed: « cavity » defects, « columnar » defects and the « white GaN ». The appearance mechanisms of these defects were studied and will be explained. Although we showed, by Transmission Electron Microscopy analysis, that the two first types of defect are clearly linked to intrinsic defects of the material itself, it is still possible to avoid their appearance during the etching.

2:40 PM PS2-TuA-3 Simulation of Bosch Process Deep Silicon Etching - A Multi-scale Approach
Amand Pateau, Ahmed Rhallabi, Marie-Claude Fernandez (Université de Nantes, France); Mohamed Boufnichel, Fabrice Roqueta (STMicroelectronics Tours SAS)

Deep etching of silicon is a very used process in the semi-conductor industry. Such high aspect ratio etchings can be obtained using Bosch process. This plasma process consists in alternating many etching and deposition steps at high etch rate (few seconds each). Two gases are mainly used: SF6 for the etching step and C4F8 for the passivation.

To predict the silicon etch profile through the mask, we have developed an etching Bosch simulator. It permits to investigate plasma/surface interactions for both the deposition and the etching step. Based on a multi-scale approach the silicon etching simulator is composed of three modules: plasma, sheath and surface models. This allows to predict the etch profile as a function of the operating conditions (pressure, power, gas flow rates, time steps for deposition and etching cycles).

The plasma module is based on a global kinetic model which allows the calculation of the neutral and ion densities and fluxes as well as the electronic temperature and density using the machine parameter of the ICP reactor as input parameters.

The sheath module is based on the Monte-Carlo technique to calculate the Ion Angular and Energetic Distribution Functions (IAEDFs). Positive ion fluxes and electronic temperature and density calculated from de plasma module are used as input parameters in the evaluation of average sheath thickness and proportion of each considered positive ion.

The fluxes of neutral and ion species calculated from the plasma module and the IAEDF calculated from the sheath model are introduced as input parameters in the surface model. This model is based on the cellular Monte-Carlo method to describe the 2D etch profile through the mask and evaluate the etch rate evolution with time. Both the silicon substrate and the mask are discretized in uniform cells named super-sites. Each super-site contains a number of real atomic silicon sites which depends on the cell size. In our etching simulations, 1nm by 1nm cells are considered. This allows a good compromise between computing time and spatial resolution. The particles used are atomic fluorine for the reactive etching, atomic carbon, CF and CF2 for the passivation polymer growth, and positive ions for the sputtering.

The simulation results show the influence of the input Bosch process parameters (RF powers, pressure, gas flow rates, time steps for deposition and etching cycles and bias voltage) on the etching processes like the etch rate and the deep etch profile evolution with etch time. Such an etching simulation tool can contribute to improve the deep silicon etching processes in terms of anisotropy and scalloping reduction.

3:00 PM PS2-TuA-4 Titanium Deep Etching for Medical Applications
Thomas Tillocher, Philippe Lefaucheux (GREMI CNRS/Université d'Orléans, France); Bertrand Boutaud (Sorin Crm, France); Rémi Dussart (GREMI CNRS/Université d'Orléans, France)

Titanium is a biocompatible material which is of great interest in the biomedical field and more especially for bio-MEMS, which have emerged recently. The fabrication of Ti platform based devices is adapted from micromachining techniques derived from microelectronics technologies. Most of the research work reported in the literature relies on a Cl2/Ar chemistry to deep etch titanium, using masks like TiO2, Ni or even SU8. Processes are performed at room temperature of the substrate with typical etch rates close to 1 µm/min. They provide rather smooth surfaces.

We report here the performances of deep titanium etching with SF6 and Cl2 based chemistries in an ICP etching tool. Samples are pieces of a patterned titanium wafer glued on a silicon carrier wafer. Mask is a 5 µm thick nickel layer.

Our preliminary results have shown that either a SF6 plasma or a Cl2/Ar plasma can be used separately to etch titanium. A SF6 plasma helps to reach etch rates as high as 4 µm/min at higher pressures (a few Pa) but profiles are isotropic. A Cl2/Ar chemistry at low pressure (almost 1 Pa) is preferred to get vertical sidewalls but the etch rate is reduced. This is why we proposed to mix these two chemistries to increase the etch rate while keeping vertical sidewalls. However, this process is not reproducible in our conditions since, in most cases, it leads to a very high roughness and a drop in the etch rate.

This non-reproducibility may be due to the use of a silicon carrier wafer: SiClx species, coming from the etch by-products of the silicon wafer, may participate to one passivation layer growth on the Ti surface as well as the chamber walls. This induces a micro-masking effect which subsequently leads to the formation of an unwanted roughness.

A SF6 plasma appears to be a good way to remove (at least partially) the layer inducing roughness, which hence leads to a better reproducibility. As the process presented previously already contains SF6, but is not reproducible, this means that the balance between etching and deposition becomes favorable to deposition from one process to another. This is why both the SF6 injection and the whole process have been optimized to overcome this issue. It was then possible to etch 300 µm of Ti within nearly 3h30. Consequently, 300 µm have been etched with an average etch rate of 1.4 µm/min. The slope is slightly negative on the first half of the ring and tends to be more isotropic on the second half. A significant undercut can also be observed (a few 10s of µm). The estimated selectivity to the nickel mask is 35. The process has been repeated many times and the profiles were always reproducible, which is a significant improvement.

3:20 PM BREAK
4:00 PM PS2-TuA-7 Selection of non-PFC Chemistries for Through-Silicon via Etch
Kun-Chieh Chen, Taeseung Kim, Jane Chang (University of California at Los Angeles)

The continued extension of Moore’s Law, which dictates that the density of integrated circuit (IC) devices doubles every two years, presents formidable challenges in realizing complex and three-dimensional interconnect structures. Through-silicon-via etch (TSV) is at the core of 3-D integration, which yields higher performance than conventional 2-D wiring systems, and has been demonstrated with Bosch deep reactive ion etching (DRIE), cryogenic DRIE, laser drilling, and wet etching. In order to achieve the desired and continuously increasing aspect ratio (AR) of the features required for the device integration, DRIE is the preferred method for TSV for the attainable vertical sidewalls and high AR. Unfortunately, the primary gases used in DRIE for TSV are SF6 and perfluorocarbon (PFC) gases, which are high global warming potential (GWP) greenhouse gases, making their increased usage undesirable.

In this work, a thermodynamics approach is used to assess and select other viable etch chemistries for TSV that are non-PFC, in an effort to reduce the usage of PFC gases and minimize their environmental impact. A systematic study is based on the assessment of various halogen-based gases, utilizing a volatility diagram where the partial pressure of the etch products are determined as a function of the etchant pressure at various temperatures. This functional relation can be determined from the thermodynamic equilibrium between the surface and gas-phase species, by considering the standard Gibbs free energy and the equilibrium constant. A careful control of the etchant partial pressure near the isomolar point, where the partial pressure of the volatile species would reach that of the equilibrium value, has been shown to be necessary to control the formation of volatile species. Amongst various candidates, NF3, a non-PFC gas with greenhouse rating only 1ppt in atmosphere, appears promising. From the thermodynamics analysis, the generation of fluorine atom from SF6 and NF3 is comparable, however, NF3 is much more able to form more SiF4, the volatile etch product, than SF6. While this is promising, another significant reaction product from NF3 is Si3N4, which is non-volatile. The addition of a second chemical such as O2 can necessitate its subsequent removal, through the formation of volatile products such as nitrogen oxides (NxOy). In addition, NF3 is also capable of removing SiO2 which is unintentionally formed during reaction with O2. This work will highlight the analysis to design a NF3/O2 process (sequential exposure versus mixture) that yields comparable etch results compared to that achieved by SF6, thereby offering a viable alternate for TSV etch.

4:20 PM PS2-TuA-8 Sub-22nm Node Mask Patterning for Deep Silicon Trench Etch
Bharat Avasarala, Scott Lefevre, Vidhya Chakrapani, Hirofumi Haga, Hiroie Matsumoto, Qingyun Yang, Yuki Chiba, Akiteru Ko, Angelo Selino Jr., Kaushik Kumar, Peter Biolsi (TEL Technology Center, America, LLC); FeeLi Lie, Iqbal Saraf, Siva Kanakasabapathy (IBM)

The fabrication of ever smaller feature sizes at increasing density has driven more stringent requirements on photolithographic processes and patterning schemes. In the sub-22nm DRAM technology, the fabrication of deep silicon memory cells at aspect ratios greater than 30 ratio (depth> 3um: critical dimension < 0.1um) is enabled by a complex mask assembly. In this paper, we will discuss RIE process development for patterning the mask assembly as well as the high aspect ratio Si trenches. The mask assembly comprises of a photo resist layer, silicon anti-reflective coating, organic planarizing layer, oxide layer, and nitride layer deposited on top of a Silicon-on-Insulator (SOI) substrate. Achieving a vertical profile along the trench and across different material types of the mask, while still maintaining the required critical dimension, are major challenges in patterning the mask assembly. The verticality of the different layers in the mask assembly is key because it subsequently affects the deep Si etch process. We will discuss the challenges in achieving high aspect ratio Si trench and key process parameters that influence its dimensions. The paper also describes the capabilities of a commercially available Capacitively Coupled Plasma reactor to meet the requirements of these advanced complex film stacks.

This work was performed by the Research and Development team at TEL Technology Center America in joint development with IBM Semiconductor Research and Development teams in Albany & Hopewell Junction, NY

4:40 PM PS2-TuA-9 Reduction of Aspect Ratio Dependency in Silicon Trench Etch
Robert Bates (University of Texas at Dallas)
The etch rate of deep features in silicon, such as trenches and vias, can vary significantly with the changing aspect ratio of the feature. Developing a better understanding of the complex volumetric and surface chemistry as well as the etching mechanisms controlling the Aspect Ratio Dependent Etch-rate (ARDE) continues to present research opportunities. Recall that ARDE is generally characterized by small AR features etching at faster rates than large AR features. The main causes of ARDE include Knudsen transport of neutrals into and out of the features as well as ion loss to the walls due to angular spread in the velocity distribution function and differential charging of insulating microstructures [1]. This work focuses on using a continuous plasma process utilizing a gas mixture of SF6/C4F8/Ar to produce trenches of varying widths and depths. The experimental results were obtained using a Plasma-Therm Versaline processing system. Experiments were performed to show that the etch rate of low AR features can be reduced through the deposition of a passivation layer and thereby allow larger AR features to catch up. It is also possible to invert the ARDE in certain circumstances. We will present the insights we have gained into the ARDE process and the solution we have tested. [1] R. Gottscho & C. Jurgensen, J. Vac. Sci. Tech. B, 10, 2133, (1992). This research was supported through SRC Award: 2012-VJ-2261. The authors thank the staff of the UTD clean room (G. Pollack, S. Riekena, B. Albert) for their gracious assistance in processing and measuring these samples.
5:20 PM PS2-TuA-11 Reaction Mechanism at the Sidewall of Through Si via (TSV) Etching by SF6/O2/SiF4 Plasma
Itsuko Sakai (Toshiba Corporation, Japan); Shinpei Amasaki, Takuya Takeuchi, Takeda Takeda, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine (Nagoya University, Japan); Noriko Sakurai, Hisataka Hayashi, Tokuhisa Ohiwa (Toshiba Corporation, Japan); Masaru Hori (Nagoya University, Japan)

One of the key processes for 3D-LSI is through Si via (TSV) formation. To form large and deep via holes through a wafer, a high etch rate deep etching technology using SF6/O2 plasma has been developed [1]. It is reported that high etch rate is obtained by supplying a large amount of F radicals and the etch profile is controlled by forming an oxidized layer as an etch inhibitor on the TSV sidewall. In the previous study [2] we showed that, as a result of competitive reaction of etching and oxidation by F and O radicals, even at the 75% O2 addition condition Si etching by F radicals is confirmed. In this study, we aimed to suppress sidewall etching further by addition of SiF4 gas to SF6/O2 plasma, and examined its effect by analysis of the Si surface.

Si wafer was placed on a grounded electrode at the remote plasma region of a 500 MHz ultra high frequency plasma reactor. We assumed that the influence of ions was small and radical reaction dominant, so we could simulate the reactions at the sidewall of TSV RIE. The process gas chemistries used were SF6/O2 and SF6/O2/SiF4.

The Si etch depth dependence of SF /O /SiF plasma etching on O2 gas addition was examined. The etch depth of Si after 3 min plasma exposure was measured from SEM images of 8μm square hole patterned Si wafers with 2µm thick SiO2 as mask. Etch depth decreased with SiF4 addition. For example, at 75% O2 addition, the etch depth was about 0.25µm, 1/4 of that without SiF4 addition. Etch depth dependence on O2 flow rate ratio showed a decreasing trend, same as that without SiF4 addition. At 90% O2 gas flow rate ratio, etching stopped and thickness increased, indicating that film was deposited on the Si surface. The thickness of the etch-inhibiting reaction layer including Si, F, O (SiOF film) was calculated from the Si2p spectra of XPS after 1 min plasma exposure. Thickness increased with O2 addition, and especially when the O2 flow rate ratio was more than 75% in the case of SF6/O2/SiF4, it became profoundly thicker, more than 8.7nm. It is speculated that reaction of O radicals and SiF4 occurred on the Si surface and etching was suppressed by Si atoms supplied from the plasma in addition to the inhibiting effect of the SiOF formation. Finally, the F/O ratio of the SiOF layer at the Si surface was calculated from the peaks of F1s and O1s. Under this experimental condition, it was found that the ratio was constant, about 2, regardless of O2 gas flow rate ratio, which is similar to the previous result without SiF4 addition.

[1] I. Sakai, et al: J. Vac. Sci. Technol. A 29, 021009 (2011).

[2] S. Amasaki, et al: Proc. 32nd International Symp. on Dry Process (2010) 97.

Time Period TuA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2013 Schedule