AVS2012 Session PS-ThP: Plasma Science and Technology Poster Session

Thursday, November 1, 2012 6:00 PM in Room Central Hall

Thursday Evening

Time Period ThP Sessions | Topic PS Sessions | Time Periods | Topics | AVS2012 Schedule

PS-ThP-1 Plasma Etch Challenges to Produce Metallization-Friendly Profiles at 20nm and Beyond Technology Nodes in the BEOL
Yann Mignot (STMicroelectronics); Robin Koshy (GLOBALFOUNDRIES); Young Park (Samsung Electronics Co. Ltd.); Ravi Srivastava (GLOBALFOUNDRIES); Eiichi Soda (Renesas Electronics); Yunpeng Yin, Marcy Beard, Bryan G. Morris (IBM Microelectronics); Kristy Trevino (GLOBALFOUNDRIES); John Arnold, Scott Allen (IBM Microelectronics); Catherine Labelle (GLOBALFOUNDRIES); Muthumanickam Sankarapandian (IBM Microelectronics); Yannick Loquet (STMicroelectronics); Yannick Feurprier, Li Wang, Josh Stillahn, Yuki Chiba, Vincent Gizzo, Kaushik Kumar (Tokyo Electron Technology Center, America, LLC); ChangAn Wang, Qi Zhang (GLOBALFOUNDRIES); Atsuro Inada (Renesas Electronics); Sylvie Mignot (STMicroelectronics)
As feature critical dimension (CD) shrinks towards and beyond the 14nm node, new patterning techniques within the context of a trench-first-metal-hard-mask (TFMHM) patterning scheme have been developed to generate trenches and vias below 100nm pitch. One of the main challenges at advanced nodes is to create structures (i.e., trenches & vias) that can be robustly metalized This requires several elements of focus for the etches: first, there must be zero dielectric etch damage that results in undercut of any hard masks in the film stack; second, the aspect ratio of the final etch structure must be minimized; and third, the shape of the trench or via profile must be tailored to be metallization-friendly (i.e., slight angle better than vertical). These requirements often conflict with each other, especially within a patterning scheme that requires self-aligned vias, where the desired high selectivity to the hard mask conflicts with the need to minimize the amount of hard mask left in order to decrease aspect ratio. In this paper, we will discuss some of the approaches that we have investigated to achieve the best profile for metallization. This includes plasma etch all-in-one (AIO) dielectric etch optimization as well as multi-step solutions that potentially can use techniques including wet chemistries, ion beam metal etching, and dry metal etching. In addition, data will be presented on efforts to minimize overall metal-related residues observed as a function of the pattern density and thus, metal exposure. Metal-containing etch byproducts have also been observed and the material characteristics and/or morphology of these etch byproducts can vary across the wafer, producing non-uniform residue patterns and affecting the plasma etch erosion of the metal hard mask itself. Understanding the underlying mechanisms of observed metal-induced defects (i.e., residues, etch byproducts, etc.) is key to applying the correct plasma etch optimization to eliminate or minimize the effects. Some data will be presented showing the progress that has been made on these issues.
PS-ThP-2 A Comparative Study of Plasma-Treated Fluoropolymers at Atmospheric Pressure
Thierry Dufour, Julie Hubert, Nicolas Vandencasteele, François Reniers (Université Libre de Bruxelles, Belgium)

Due to their extraordinary range of properties, polymeric materials play an essential and ubiquitous role in everyday life. Plasma-modifications of polymeric surfaces further extend the range of their applications, especially with fluoropolymers due to their widespread in biocompatibility and self-cleaning coatings applications.

The aim of our work is to compare the treatments carried out with two distinct plasma sources on a selection of fluoropolymers: PVF, PVDF, TrFE, PTFE, Nafion©, FEP and PFA. The first treatment is performed with a post-discharge generated by an RF plasma torch, supplied with a helium-oxygen mixture and operating at atmospheric pressure. The second treatment is achieved in the plasma phase of a DBD and operates at atmospheric pressure, also in the same gases. In both cases, we discuss the influence of the treatment time and of the O2 flow rate on the surface properties. A comprehensive study of the plasma/polymer interface has also been performed by mass spectrometry and optical emission spectroscopy to identify the species responsible of the surface texturization, i.e. the species breaking the atomic bindings on the surface top atomic layers.

The surface properties are characterized by determining the advancing and receding WCA so as to show if the treated polymers can be classified into the Wenzel or Cassie-Baxter models. The super-hydrophobicity of PTFE has already been achieved. Moreover, two liquid probes have been used: milliQ water (H2O) and diiodomethane (CH2I2) to discuss the validity of the Fowkes theory.

Finally, the XPS analyses give information on the surface composition which remains unchanged for the majority of the studied fluoropolymers. We will also explain how it is possible to identify the fragments ejected from the polymeric surfaces during the etching process by using the XPS instrument. CF2 fragments have already been evidenced in the case of the PTFE and different ones in the case of Nafion©.
PS-ThP-3 Advances in 2D/3D Feature Profile Simulations
Paul Moroz (Tokyo Electron US Holdings Ltd)
Plasma etching, deposition, and implantation are widely used in semiconductor industry, with application of complex chemistries and plasmas to obtain desirable profiles and material properties. However, in practice, etched profiles might show undesirable effects such as bowing, or necking, or micro-trenching, among others, as well as might demonstrate the so-called loading effect. Those effects become more concerning or even dangerous for features of smaller sizes, especially for high aspect ratio etching of trenches and contact holes where they could lead to defects of the device. Selecting proper gas mixtures as well as the regimes of plasma operation to obtain proper profiles is a very tedious process, and numerical simulation could become a highly needed and useful tool. Here we discuss new capabilities and unique characteristics of the 2D/3D feature profile simulator FPS-3D [1-2]. The FPS-3D simulator was developed as a general type simulator, in principle, applicable to any materials, reactive gases, plasmas, or beams, for which the user could provide proper reaction mechanisms. FPS-3D can simulate etching, deposition, or implantation processes going on at the same time. Among new developments to be presented is the capability of considering multi-step (or multi-recipe) processes, when each step could have different fluxes to the surface and different chemistry. Another new development in FPS-3D is the possibility of simulating the pulse-plasma effects. Examples presented are mainly based around a particular case of HARC etching of SiO2 by the fluorocarbon-argon-oxygen plasma in a capacitive-type plasma system. [1] P. Moroz, ECS Transactions, 35 (20) 25 (2011).[2] P. Moroz, IEEE Transactions of Plasma Science, 39 (11) 2804 (2011).
PS-ThP-4 Laser Thomson Scattering Measurements of Plasma Parameters in the Low Temperature Plasmas
Jung-Hyung Kim (Korea Reseach Institute of Standards and Science, Republic of Korea); ByungHun Seo (Korea Advanced Institute of Science and Technology, Republic of Korea); Shin-Jae You, Daejin Seong (Korea Reseach Institute of Standards and Science, Republic of Korea)
Diagnostics of electron density and temperature play an important role for characterization of processing plasmas and basic plasma researches. Laser Thomson scattering is a one of the most accurate diagnostic technique for measuring electron density and temperature because of none-perturbation to plasma among various diagnostic techniques invented to measure plasma density and temperature. I will briefly review the method of Laser Thomson Scattering to measure the electron temperature and density. Electron energy probability functions (EEPFs) having a fine resolution of electron energy were measured in low-pressure inductively coupled plasma with laser Thomson scattering method (LTS) at various plasma conditions (rf powers and gas pressures) and compared with the EEPFs measured by a single Langmuir probe (SLP) at the same experimental conditions. The result of LTS showed that the measured electron density normally increases with the rf power and the gas pressure, and the electron temperature decreased with the rf power and the gas pressure. The results have a good agreement not only with the previous reports qualitatively but also with our SLP measurement result quantitatively. We have a plan to establish a standard of plasma measurement with this LTS, which will be discussed.
PS-ThP-5 Development of a New Plasma Treatment Followed by a Bake for Photoresist Linewidth Roughness Smoothening
Marc Fouchier, Erwine Pargon (CNRS/UJF-Grenoble1/CEA LTM, France); Laurent Azarnouche (ST Microelectronics, France); Kevin Menguelti, Melisa Brihoum (CNRS/UJF-Grenoble1/CEA LTM, France)
As the dimensions of microelectronic circuits scale down, the gate linewidth roughness (LWR) increasingly impacts the electrical performances of transistors. It has previously been shown that the significant photoresist (PR) sidewall roughness after lithography is transferred into the gate during the subsequent plasma etching process, resulting in a final gate LWR far above the ITRS requirements. The key to reduce the gate LWR is to minimize the photoresist LWR before plasma transfer. Plasma treatments are commonly used for that purpose. In previous studies, we have shown that photoetching mechanisms occurring during plasma exposure plays a key role in PR smoothening. We have also demonstrated that the carbon species outgassed during the treatment can redeposit on the PR pattern sidewalls and degrade the LWR. Moreover, we have shown the benefit of applying a bake after plasma treatment in order to further decrease the LWR. From this knowledge, we develop a new treatment based on HBr/O<sub>2</sub> plasma exposure followed by a bake. The plasma conditions are optimized in order to emit strongly in the vacuum ultra violet (VUV) range (below 200 nm) and to prevent carbon redeposition on the resist sidewalls. We show that by controlling the VUV dose (mainly by tuning source power or plasma exposure time), the PR bulk can be either softened (photolysis) or strengthened (crosslinking). Moreover, the formation of the carbon deposit on the resist surface can be controlled by the O<sub>2</sub> content of the plasma. The surface and bulk properties of the PR line after plasma treatment will determine the LWR behavior during the subsequent thermal cure treatment. A soft resist bulk combined with a thin surface carbon layer is the ideal case to get the best LWR smoothening during the bake without reflowing. By optimizing both the plasma oxygen content and VUV dose, we obtain a 60% LWR decrease after bake reducing the LWR from 7.3 nm to 2.9 nm.
PS-ThP-6 Analysis of Target Oxidation in Reactive Sputter Deposition Processes of Silicon Dioxide
Kazuhiro Hoshino, Kazuya Demura, Shunsuke Tamaya, Maki Okamoto, Yasuo Murakami (Canon Inc, Japan); Michiro Isobe, Tomoko Ito, Kazuhiro Karahashi, Satoshi Hamaguchi (Osaka University, Japan)
Reactive sputtering is widely used for thin film deposition of various compounds such as oxides and nitrides of metal and non-metal elements. In reactive sputtering processes, reactive gases such as oxygen are added to discharge of a sputtering system and chemical reactions between gaseous species and sputtered materials from the target form chemical compounds that are deposited on the substrate. In reactive sputtering processes, chemical compounds are also formed on the target surface and the thickness of the compound layer affects the sputtering rate of the target, which in turn can affect the film deposition rates and even film qualities. Therefore, for deposition of high quality thin films, control of compound formation on the sputter target is considered to be of significant importance.
Compound-layer formation on a target must be caused by influx of reactive ions and free radicals from the plasma despite the constant sputtering of the target surface. Details of such surface reaction processes have not been well understood to the extent that such knowledge could be readily used for the control of reactive sputter deposition processes.
In this work, we have focused on reactive sputtering deposition processes of SiO<sub>2</sub> thin films and examined the oxide layer formation on a Si target, using multi-beam injection experiments with beams of radicals and mass-analyzed energetic ions as well as molecular dynamics (MD) simulations of surface reactions. It has been found that oxygen ions, which penetrate the substrate more deeply than argon ions at the same incident energy, cause constant oxidation of the surface whereas the flux ratio of low-energy charge-neutral oxygen radicals to argon ions can also significantly affect the thickness of surface oxide. These results can be used to facilitate the control of plasma conditions for reactive sputter deposition processes.
PS-ThP-7 On the Origin of the Line Width Roughness of Photoresist Patterns after Plasma Exposure
Raphael Ramos, Melisa Brihoum, Kevin Menguelti, Laurent Azarnouche, Marc Fouchier, Erwine Pargon, Gilles Cunge, Olivier Joubert (LTM (CNRS / UJF-Grenoble1 / CEA), France)
The control and minimization of the roughness on the sidewalls of transistor gates is a major aspect of the plasma patterning technology in microelectronics. The line width roughness (LWR) in the active layers is known to originate mostly from the transfer of the initial LWR of the photoresist patterns defined by 193 nm lithography. As a consequence, numerous plasma pre-treatments of the photoresist have been developed and applied to decrease the mask LWR prior to the plasma etching of the gate stack. However, the final LWR is still higher than the requirements for the next technological nodes. It is therefore necessary to develop a clear understanding of the physical mechanisms responsible for the modifications of the photoresist LWR during plasma exposure to further reduce the gate width roughness. In this work, we will show that plasma treatments of photoresist patterns result in the formation of a shell surrounding the pattern, i.e. including on surfaces not exposed to ion bombardment. The redeposition of by-products from the (photo)etching of the resist polymer plays a major role in the formation of this shell. We will highlight the influence of the mechanical properties of both the shell and the underlying modified photoresist on the LWR of plasma-exposed resist patterns. Based on the proposed mechanism, we will discuss the potential of pulsed plasmas for LWR reduction processes.
PS-ThP-8 Effect of Film Properties on Nitride Etching
Tomiko Wanifuchi, Gordon Takaba, Hiroto Ohtake, Masaru Sasaki (Tokyo Electron Technology Development Institute, INC., Japan)
Silicon nitride has been widely used for dielectrics of CMOS logic devices, especially, for spacers or stopper layers. However, the mechanism of etching was not known well. In addition, several kinds of nitride film have been used in the devices and we have to know the effect of film properties on the etching characteristics.In this paper, the effect of film properties on nitride etching characteristics was investigated. 5 kinds of nitride wafers were prepared by changing the deposition temperature. The film density was lower at the lower deposition temperature, which contains more hydrogen in the film. As etching tools, RLSATM Etch, ICP and CCP were used. RLSATM Etch has high Ne of more than 1×1011cm-3 and low Te of less than 1 eV around the stage because RLSATM Etch tool uses the surface wave plasma and diffusion chamber. CH3F/ CF4/ O2 gas chemistry was used in all etchers. CCP showed ion-dominated etching because the etching rate was almost constant at various nitride films. On the other hand, RLSATM Etch and ICP showed the dependence of etching rate on film property. The etching rate decreases by increasing the film density. However the RLSATM Etch showed stronger dependency as compared with ICP. It is considered that radical- surface reaction dominated etching was realized at RLSATM Etch because ICP generates fluorocarbon polymers more than RLSATM Etch. Moreover, the dependency on film property was strongly related to the etching recipes. Film dependency was enhanced at the radical (chemical) etching condition as compared with ion dominated etching condition. In addition, we also observed the surface of nitride after oxygen plasma exposure because we used this plasma for surface cleaning. The results showed the dense and shallow oxidation layer was generated at the dense nitride film.Based on these result, we found that the etch characteristics was drastically changed by the film property and we have to use the appropriate plasma for precise nitride etching, corresponding to the film property.
PS-ThP-9 A DC-RF Magnetized Plasma Source
Yevgeny Raitses, Igor Kaganovich (Princeton Plasma Physics Laboratory)

We report results for a new plasma source which uses a low pressure (~10-4 Torr) discharge with applied electric and magnetic fields. A dc voltage of 20-100 V is applied between the RF plasma cathode and the anode-chamber. The magnetic field is varied between 50-500 G. Under such conditions this cross-field discharge is shown to sustain an efficient ionization of xenon and argon gases (nemax ~ 1011-1012 cm-3, Te~ 1-10 eV). Probe measurements revealed that the magnetized plasma of the DC-RF discharge has a non-Maxwellian EEDF with a depleted high-energy tail. It is also shown that spatial variations of the EEDF are governed by a non-local electron heating and anomalous electron transport across the magnetic field. An important implication of the above results is that the anomalous electron transport may degrade the magnetic filter effect, which supposes to separate “hot” and “cold” groups of plasma electrons.

This work was supported by the US DOE.
PS-ThP-10 Quick Estimation of Deposition Rate for a Sputter System
Guowen Ding, Yu Wang, Jeremy Cheng, Daniel Schweigert, Zhi-wen Sun, Minh Le (Intermolecular Inc.)

Here we present a practical estimation on deposition rate for a sputter system. The sputter system consists of four sputter sources in a high vacuum chamber, with an independent power supply for each sputter source. Quick estimation of deposition rate could be helpful for efficient experimental planning and reducing the number of experiments and time.

First, theory: Our early JAP paper* disclosed an energy balance model and derived a sputter rate = k (P –Po). The physical meaning is that the sputter rate has a linear relationship with plasma source power P, with a nearly constant offset Po, and constant slope k. Here we present several different materials results which illustrate the good fit between deposition rate and power within the working range of 100W to 550W.

Second, Po estimation: The physical meaning of Po is the power consumed to sustain plasma, which is dependent on the plasma boundary condition (chamber/plasma source geometry), ion diffusion co-efficient, electron temperature etc. In this situation, since the plasma ion is primarily Agon ion with fixed chamber geometry, Pois nearly constant within the range of 25 to 52 W. With a simple estimation of 39W, an error of only 13W could be introduced. For a typical 200~400W experimental condition, the error from this estimation is small (2~5% error).

Third, slope k estimation: The different materials trends with slope k are calculated M/D *Y* V and plotted. (M: is the atomic weight, D: is the density, Y: is the sputter yield at 300V for Argon ion, and V is the DC voltage of the sputter source). The model assumes that the slope k is proportional to the sputter yield at the DC voltage, and converts the mass to volume for thin film thickness based on the deposition rate calculation. In our case, DC voltage V is close to 300V, so that sputter yields at 300V were used. However, further investigation showed that the simple sputter yield might not be accurate enough, and the correction for the sputter DC voltage could provide a better fit. The slope k trend agreed well with model estimation M/D*Y *V. Thus, results here implied that the slope k could be estimated for different materials.

Thus, a quick deposition rate estimation method was derived in comparison with experiments, which is expressed as:dep rate= M/D*Y*V * k’ *(P-Po), and K’ and Po are chamber specific that need to be experimentally determined. Once calibrated from one experiment, the deposition rates of other materials can be estimated from this formula.

In addition, this study could be helpful in applying to other chambers and sputter sources for a quick deposition rate estimation, even for alloy target or reactive sputtering.

PS-ThP-12 Application of E-beam Curing Technique to EUV Resist Utilizing DC Superimposed Capacitively-Coupled Plasma
Masanobu Honda, Takayuki Katsunuma, Kazuki Narishige (Tokyo Electron Miyagi Ltd., Japan); Koichi Yatsuda (Tokyo Electron Limited, Japan)

EUV lithography provides much bigger dry etch challenges than 193-nm lithography did. Its depth of focus (DOF) is

so small that the thickness of EUV resist is much thinner than that of 193-nm resist. Although EUV resist requires

higher etch selectivity than 193-nm resist does, UV/VUV cure, which has been used in 193-nm resist, is not an

effective technique to enhance etch selectivity and physical strength. This is because UV/VUV light is essentially

transparent in EUV resist. Etch selectivity normally attributes to polymer which prevents material from being etched.

In the case of EUV resist, line width roughness (LWR) is easily enhanced by polymer because its physical strength

is so low, and the resist width is so small that the resist cannot tolerate the stress of polymer. This is quite contrary

to the ideal reactive ion etch (RIE) which diminishes LWR. Therefore, an alternative curing technique for EUV resist

is required to improve the etch selectivity without increasing LWR.

We introduced the e-beam curing technique for 193-nm resist utilizing a direct current superimposed (DCS) capacitively-coupled plasma (CCP) at the 55th AVS in 2008. Negative high DC voltage is applied in DCS CCP,

and positive ions collide with the upper electrode. Thus, secondary electrons are emitted from the upper electrode.

The applied negative high DC voltage also accelerates the emitted secondary electrons, which turn into ballistic

electrons. The curing mechanism of 193-nm resist is scission and cross-linking of polymer by e-beam which is a

consequence of ballistic electrons. Considering its mechanism, the e-beam curing should be available to any

polymer. Thus, we applied this technique to EUV resist, and investigated the effect of e-beam in EUV resist. In order

to identify the cured thickness and chemical structure change, the surface was analyzed with cross-sectional SEM

and ToF-SIMS, respectively. As a result, the curing effect was confirmed. In addition to the curing technique, we

also invented a coating technique with a silicon compound material by sputtering the upper electrode utilizing the

DCS technology. This coating technique increases the etch selectivity to EUV resist. In this technique, silane type

gases are not required, making it easily applicable to manufacturing.

PS-ThP-13 SiH4/H2 and CH4 Multi-Hollow Discharge Plasma CVD of SiC Nano-Composite Anode for High Charge-Discharge Capacity Lithium Ion Batteries
Yasuhiko Morita (Kyushu University, Japan)
Although most lithium ion batteries employ carbon in various forms such as graphite, hard carbon and microspheres, as an anode material; carbon has the low charge-discharge capacity up to 372 mAh/g. Silicon is one of the most attractive material to replace the carbon anode because it is abundant and has the high charge-discharge capacity up to 4200 mAh/g. Fully lithiated silicon is 4 times larger in volume than Si and such significant increase in volume causes fracture and pulverization of the electrode, thereby leading to capacity degradation and failure of battery cells. Recent studies showed that carbon-silicon composite material is effective to solve the silicon related problems. Here we employ SiC nanoparticles as an anode material [1]. Surface carbonization of silicon nanopartciles was performed using double multi-hollow discharges, where nanoparticle generation and the surface carbonization were independently controlled with the double multi-hollow discharges. Silicon nanoparticles were produced by the multi-hollow discharges of H2 + SiH4multi-hollow discharge plasma. CHx radicals for carbonization, which was produced by the CH4 multi-hollow discharge plasma, were irradiated to nanoparticles during their transportation to the downstream region. The electrolyte was 1M LiPF6 in ethylene carbonate (EC)/ dimethylene carbonate (DMC) (1:2). For measurements of anode properties, a Li metal sheet of 1 mm in thickness was used as a cathode [2]. Li intercalation capacity was measured with a constant current of 0.1 mA/mg. Charge-discharge capacity of the SiC nano-composite anode of the first cycle was 3000 mAh/g, which is 9 times higher than the capacity of graphite anode. SiC nano-particle-composite anode produced by plasma CVD is promising for lithium ion batteries. Lithium-ion batteries will play the most important role in the future of electric energy storage applications, including hybrid and electric vehicles over the next five years.
Work partly supported by Regional Innovation Strategy Support ProgramMEXT.
[1] G. Uchida, et al., Jpn. J. Appl. Phys. 51 (2011) 01AD01-1.
[2] T. Ishihara, et al., Electrochem. Solid-State Lett., 10 (2007) A74.
 
 
 
 
PS-ThP-14 Interface Trap Generation by VUV/UV Radiation from Fluorocarbon Plasma
Masanaga Fukasawa (Sony Corporation, Japan); Yudai Miyawaki, Yusuke Kondo, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine (Nagoya University, Japan); Hiroyasu Matsugai, Takayoshi Honda, Masaki Minami, Fumikatsu Uesawa (Sony Corporation, Japan); Masaru Hori (Nagoya University, Japan); Tetsuya Tatsumi (Sony Corporation, Japan)

Incident species from plasma needs to be strictly controlled to fabricate advanced devices. We found that simultaneous injection of VUV/UV radiation and radicals caused the marked etch rate enhancement of SiNx:H films [1]. This indicates that not only ions, but also VUV/UV radiation affects the surface reaction of radicals with SiNx:H. In this study, we investigated the effect of transmitted VUV/UV radiation on underlying interface traps of SiNx:H/Si substrate by using the pallet for plasma evaluation (PAPE) [2].

A dual frequency (60/2 MHz) CCP reactor was used. SiNx:H films were deposited on Si substrates by PECVD and those were exposed to CF4/O2 plasma. The thicknesses of SiNx:H films were 200 nm. To investigate the effect of radiation, MgF2 (> 115 nm), quartz (> 170 nm), and borosilicate crown glass BK7 (> 300 nm) windows were put directly on the SiNx:H film. The capacitance-voltage (CV) characteristics of SiNx:H on Si substrate were analyzed to study the interface-trap density (Dit).

The penetration depths of photons strongly depend on the wavelength of the VUV/UV radiation and the absorption coefficient of materials. The wavelengths of photons were classified into three major ranges by their interaction with the material:

1) Photons are absorbed in the material and damage the film and/or enhance the surface reaction [1].

2) Photons are transmitted through the material and absorbed in the underlying interfaces, resulting in the increase in Dit.

3) Photons are transmitted through both the material and interface, and there is no impact on the damage generation.

The Dit increase is the most serious issue for advanced device fabrication since the Dit directly degrades electrical performance. Thus, the damage of SiNx:H/Si substrate interface was investigated by CV measurement. The Dit of SiNx:H/Si substrate was unaffected by the VUV radiation (< 170 nm) since all the high-energy photons were absorbed in the SiNx:H film (case 1). When the photons in the UV region (> 170 nm) were irradiated, the Dit increased and a negative charge was generated in the interface (case 2). This indicates that the VUV/UV radiation transmitting through the upper dielectrics causes the electrical characteristics of underlying devices to fluctuate. The UV radiation (> 300 nm) had almost no effect on the increase in Dit (case 3) due to the lack of absorption in both the material and interface.

Thus, the wavelength dependence of increases in Dit needs to be investigated for possible interfaces in advanced devices.

[1] M. Fukasawa et al., Jpn. J. Appl. Phys., 51 (2012) 026201.

[2] S. Uchida et al., J. Appl. Phys., 103 (2008) 073303.
PS-ThP-15 Design of a Standalone Plasma Diagnostics Box
Freek Molkenboer, Herman Bekman, Frans Elferink, Thijs Versloot, Edwin Te Sligte, Norbert Koster (TNO Technical Sciences, The Netherlands)

In setups for plasma research there are in most cases several sensors available to monitor the plasma conditions. However there are also numerous plasma systems for non-research applications that have limited or no plasma diagnostics available at all.

To solve this problem we have designed, a so called plasma diagnostics box. The plasma diagnostics box is made out of two units, a sensor head and the data logger box containing the data logger, batteries and electronics, connected by a flexible tube.

The sensor head contains multiple stand-alone sensors. The prototype device contains five basic plasma monitoring sensors, a PT100 temperature sensor, a heat flux sensor, silicon photodiode, a double Langmuir probe and a Faraday cup for measuring several key plasma parameters

The two unique features of the plasma diagnostics box is that it is a complete standalone unit and that it can be placed within the vacuum/ plasma system. This means that there is no need for a feedthrough flange on the plasma/ vacuum system. However, if a feedthrough is available, the data logger box can be placed outside the vacuum, and the sensor head alone can be inserted in the system.

Because of the flexible connection the sensor head can be placed anywhere within the plasma. This makes it possible to characterise the plasma at different positions.

In this contribution we will discuss the design and manufacturing of the plasma diagnostics box. Besides the design we also will present the data we have measured with the plasma diagnostics box in one of our research plasma setups that we have available at TNO. The results obtained with these measurements will be benchmarked against the commercially available diagnostics on our research plasma setup.

PS-ThP-18 A New Compact ICP Source for Neutral and Ion Beam Extraction
Erdinc Karakas, Vincent Donnelly, Demetre Economou (University of Houston)
A new compact inductively-coupled plasma (ICP) source will be presented. The source is designed to extract either a monochromatic ion beam or a neutral beam out of the plasma, to achieve highly selective etching of silicon, silicon nitride, or silicon dioxide. Two identical plasma sources were fabricated and installed on a processing chamber, with their axes perpendicular to one another. This setup offers flexibility in bombarding a substrate with neutrals and energetic ions either simultaneously, at a 450 angle of incidence, or sequentially at 900. Ion and neutral beams can be extracted in continuous or pulsed plasma modes. The sources can be characterized with line-of-sight mass spectroscopy, while etched surfaces can be examined by vacuum-transferred x-ray photon spectroscopy (XPS). A Langmuir probe was employed to measure spatially- and temporally- resolved plasma parameters as a function of pressure and power. At the center of the plasma, an electron density of 9.5× 1011 cm-3 was reached for 500 W input power at a pressure of 50 mTorr Ar. A retarding field ion energy analyzer was employed to measure the ion energy distributions (IEDs) on a grounded substrate. In a pulsed mode, when the electron temperature decreased to a low value late in the afterglow, a synchronous pulsed DC bias was applied to a boundary electrode, creating a monoenergetic ion beam at a peak energy that was nearly equal to the bias voltage. The IEDs showed a single peak with or without bias. Initial characterization of the neutral beam composition and etching of silicon will also be presented.
 
*Work supported by Lam Research Corp.
PS-ThP-19 The Role of Ions in the Gas-Surface Interactions of Nitrogen Oxide Plasma Systems
Joshua Blechle, Michael Cuddy, Ellen Fisher (Colorado State University)
Improving upon the effectiveness of substrates that are used in vehicular emissions abatement hinges on the ability to elucidate the contributions of various gas-phase species in surface reactions. Utilizing inductively coupled plasmas, the role of ions on surface reactivity is investigated to improve and tailor surfaces for the reduction of nitrogen oxide (NxOy) species. Here, nascent ions are monitored via mass spectrometry and energy analysis for NO, N2O, NO2, and a 50/50 mixture of N2 and O2 precursor gases. The mean ion energy (<Ei>total) determined for all ions within each respective plasma system shows a strong positive correlation with applied rf power and a negative correlation with system pressure for all precursors studied. Ions also play varying roles in the surface scatter of NO radicals as demonstrated by the imaging of radicals interacting with surfaces (IRIS) technique. The net effect of ions on surface processing is dependent upon plasma parameters including the choice of precursor gas. Scatter coefficients (S), determined for ion-limited plasma systems are compared to ion-rich systems to correlate <Ei>total and scatter, which suggests a need for precise control of the chemistry occurring between the gas-phase and surface. Such information lends itself not only to the evaluation of plasma processing as a method of NxOy emission control, but also to improve current substrates and techniques.
PS-ThP-21 Diagnosing Toroidally Confined Pure Electron Plasma using Electrostatic Waves
Stephen Exarhos, Matthew Stoneking, James Darrell (Lawrence University)

Non-neutral plasma, or plasma made up of particles of a single sign of charge can be isolated and confined for long periods of time, permitting detailed examination. Non-neutral plasma possesses characteristics that are unique (such as a dynamic equilibrium state), while exhibiting some phenomena that are similar to neutral plasma (such as supporting the propagation of electrostatic waves). Previous work on non-neutral plasma has been conducted largely in cylindrical traps, but our toroidal trap offers the opportunity to test theoretical predictions that are not observable in cylindrical geometry. One such effect is the transport and mode damping due to a phenomenon called magnetic pumping. We report on experiments in which we excite toroidal analogs of two different so-called ‘diocotron’ (or flute-like) modes in the plasma in order to diagnose its characteristics and behavior. The frequency of the m=1 diocotron mode is proportional to the total trapped charge in the plasma. The damping of this mode has a strong dependence on magnetic field that is not presently understood, though theory suggests there is a dependence on, as yet unmeasured, plasma temperature. The frequency of the m=2 diocotron mode provides information about the average density of the plasma and its time variation, as well as its dependence on magnetic field, and other experimental control parameters. The combination of total charge and average plasma density provides a measure of plasma transport. This work is supported by National Science Foundation Grant No. PHY-0812893.

PS-ThP-22 Diagnostic Studies of Ar/c-C4F8 Plasmas: The Effect of N2-addition on Gas Phase and Surface Kinetics
Peng-Kai Kao, Yao-Jhen Yang (National Taiwan University, Taiwan, Republic of China); Peng-Wen Chiou, Chia-Chen Chou (Tokyo Electron Taiwan Limited, Taiwan, Republic of China); Cheng-Che Hsu (National Taiwan University, Taiwan, Republic of China)
The effects of N2 addition on gas phase and surface kinetics in c-C4F8 and Ar-containing capacitively coupled plasmas are studied. The plasma is sustained using a 13.56-MHz rf power supply with an L-type matching network. Two systems with different geometries are utilized in this study. The first system consists of a cylindrical glass chamber with an annular ring-shaped powered electrode and a planar sample stage that serves as the grounding electrode. The second system is a parallel-plate discharge chamber with the electrode diameter 25.4 cm and the gap distance 60 mm. Optical emission spectroscopy is used to identify key species and to quantify gas temperature. The surface deposition is analyzed using XPS, FTIR, and SEM. Various solvents are used to test the chemical resistance of the deposited fluorocarbon film. Preliminary optical emission spectroscopic studies identified CN emission, which strongly suggests nitrogen incorporation into the gas phase reaction. The gas temperature is found to be between 1200 and 1350 K under 97 mT with 50 W power, and is found to be insensitive to N2 addition. XPS analysis clearly shows the existence of the N peak, which clearly shows that nitrogen is involved in the surface kinetics. FTIR clearly shows the existence of stretching vibrations of CF2 bond. Under 57 mT and 50 W power with Ar:C4F8=12:4 sccm, the surface fluorocarbon film deposition rate is approximately 25 nm/min. No major effect in this deposition rate is seen with up to 4 sccm of N2 addition. The chemical resistance test shows that this deposited film is not resistant to acetone. This clearly demonstrates that the film is rather different from polytetrafluoroethylene film, which is resistant to various solvents including acetone. Finally, the implication of N2 addition on the etching processes will be discussed.
PS-ThP-23 Advanced Etch Profile Control and the Impact of Sidewall Angle at SiC Etch for Metal Filling Process
HoKun Sung, WoongSun Lim, KeunWoo Lee, ShinKeun Kim, JaeWon Choi, ByungOu Lee, HongMin Yoon, YongSu Lee, MiLim Park, EunAeh Cho, JaeChang Kim, HoKwan Kang, ChulGi Ko (Korea Advanced Nano Fab Center, Republic of Korea)
This study relates generally to etching processes and in particular to method for controlling the profile of an opening etched in a SiC wafer. In the fabrication of high power device via hole is formed in an insulating layer prior to metallization to provide contacts to underlying regions. It is preferable that these openings have a low slope etch profile in order to minimize the possibility of defects in the overlying metal layer. One problem is a step-coverage defect, which sometimes occurs when a metal layer is formed over an opening having a steep profile and causes a discontinuity in the conductor formed by the metal layer. Such steep openings, that is, openings having nearly vertical sidewalls, typically occur when an SiC wafer is anisotropically etched, for example by a etch mask of high selectivity metal.
In this study, the SiC etching chatacteristics and the dry etch mechanism of SiC as function of etch mask material(metal masks) and etch mask edge shape were investigated. The etched step height was measured using step profilometer. And Scanning Electron Microscope (SEM) was used to measure the etch profile. Also, X-ray Photoelectron spectroscopy (XPS) was utilized to analyze the SiC etch mechanism.
PS-ThP-24 Etching Characteristics of Magnetic Tunnel Junction Layer by using Non-Corrossive Gas Mixtures in ICP System
Min-Hwan Jeon, Kyong-Nam Kim, Heo-Jun Kim, Geun Young Yeom (Sungkyunkwan University, Republic of Korea)

Magnetic random access memory (MRAM) has made a prominent progress in memory performance and has brought a bright prospect for the next generation nonvolatile memory technologies due to its several advantages. Dry etching process of magnetic thin film is one of the important issues for the magnetic devices such as magnetic tunneling junctions (MTJs) based MRAM. MTJs which are the basic elements of MRAM can be used as bits for information storage. CoFeB is a well-known soft ferromagnetic material, of particular interest for magnetic tunnel junctions (MTJs) and other devices based on tunneling magneto-resistance (TMR), such as spintransfer-torque MRAM. Recently, transferring the pattern by using an Ar+ ion milling is a commonly used, although the redeposition of sputter etch products on the sidewalls and the low etch rate are main disadvantages of this method. Other method, which reported the etch rates higher than 50 Å/s for magnetic multilayer structures using Cl2/Ar plasmas, is also proposed. However, the chlorinated etch residues on the sidewalls of the etched features tend to severely corrode the magnetic material. To remove this problem, the etching of MTJ layer by using organic-based gases such as CO/NH3, CH3OH, etc. are actively investigated currently.

In this study, MTJ materials such as CoFeB, MgO, etc. were etched using various gas ratios which can be expected to form volatile metal-organic compounds and the results were compared with those etched using Cl2-based gas mixture. As one of the gas mixtures, gas mixtures of carbon monoxide (CO) and ammonia (NH3) were used as etching gases to form carbonyl volatiles. The etch results showed the enhanced etch rates higher than 3 times by using a gas mixture of CO/NH3 compared to that etched by pure CO or NH3 possibly indicating the formation of products composed of carbonyl volatiles. The composition of etched surface was less damaged compared with that etched with Cl2-based gas.
PS-ThP-25 Evaluation of Surface Chemical Bonding State and Surface Roughness of Chemical Dry Etched Si using NO and F2 Gas Mixture
Satomi Tajima, Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, Masaru Hori (Nagoya University, Japan)

Economical Si etching is required to fabricate through silicon via ( TSV ) integration architecture for multi-layered packaging, micro-electro-mechanical system (MEMS), and surface patterning of solar panels. Chemical dry etching techniques by highly reactive ClF3 gas [Ibbotson et al. J. Appl. Phys. 56 (1984) 2939] has been reported but the contamination by Cl is problematic. In this study, we have been investigating a new and economical Si chemical dry etching technique using nitric oxide (NO) and molecular fluorine (F2) gas mixture. Atomic fluorine (F) is generated by mixing these gases at room temperature by the reaction of F2 + NO à FNO + F. Kinetic energy of F at 0.8 eV and the change in post-reaction bonding energies of Si, SiFx (x = 1~4), Si-NO, Si-NOF, and HF were calculated by B3LYP/6-311+G(d) in Gaussian 09. Si etch rate, etch directionality, etch selectivity, surface chemical bonding states, and surface roughness were evaluated by scanning electron microscopy (SEM), X-ray photoelectron spectroscopy, and Fourier transform infrared spectroscopy, and atomic force microscopy to elucidate the Si etch dynamics in molecular level.

A prototype etching reactor was fabricated using the quartz tube with the inner diameter of 7.5 mm and the length of 150 mm. Flow rate of gases introduced into this chamber was Ar/5%F2 ~ 38.5 sccm, F2 ~ 1.9 sccm and NO ~ 1.5-5 sccm while maintaining the constant pressure at 620 Pa. The corresponding flow rate ratio, NO/NO + F2, was 0.44 ~ 0.72. Etching was performed for 15 ~ 600 s. Single crystal and poly-Si samples were prepared to determine the etch rate, which is calculated from the cross-sectional SEM images. Preliminary results show that the etch rate was increased from 0.2 ~ 1.8 m m/min at NO/NO + F2 = 0.44 ~ 0.72. This measured etch rate was more than 100 times faster than the estimated value by F atom reaction with H terminated Si. The etch rate sharply increased with NO up to NO/NO + F2 ~0.57 and became almost constant when NO/NO + F2 > 0.57. The etched surface after the exposure to the atmosphere mainly consisted of SiO2, indicating that the reaction between Si-Fx, Si-NO, Si-NOF and H2O in the air may occur rapidly. The detail analysis of the change in surface chemical bonding state during and after etching is in progress. The etched surface became rough and scalloped Si was formed when NO flow rate was increased. The surface roughening may be due to the presence of Si-NO and/or Si-NOF bond prior to the formation of Si-Fx that would eventually form SiF4. From these results, Si etching is initiated not only the presence of F but also the existence of F2, NO, and FNO.

PS-ThP-26 High K Metal Gate Etching towards sub 14 nm Features
Sébastien Barnola, Latifa Desvoivres, Christian Vizioz (CEA, LETI, MINATEC Campus, France); Christian Arvet (ST Microelectronics, Crolles, France)
To progress towards the 11nm node, sub 14nm HK metal gates need to be patterned with a good CD control. Two lithography techniques were used at the same time on the wafer to achieve the same CD target for different goals : electron-Beam lithography with 30nm dense litho features for the circuit development and optical lithography with 80nm isolated features for single device optimization. A gate etching process has been developed at LETI starting from these two lithography options using different trimming strategies to achieve this aggressive CD target For this study, wafers were patterned with hybrid lithography. In the same die, E-beam and optical fields were realized separately using a common stack made of trilayer / hard masks/ HKMG. The optical side was first printed and patterned down to the Poly-si , then the ebeam side was printed with positive photoresist and etched to the Poly-Si as well. Finally the HKMG parts were etched at the same time on both fields.Metal Gate patterning has been performed in a 300mm industrial platform etcher: LAM VERSYS, Kiyo CX. Several conditions were tested to minimize added LWR for such small dimensions. We focused on several trimming conditions at different location on the stack to achieve our CD target : resist, ARC, SOC and hard mask. After optimization sub 14nm CD have been successfully achieved for FDSOI technology. Best results were achieved with hard mask trimming strategies for ebeam part and resist + hard mask trimming for optical part.
PS-ThP-27 The SiOx Thin Film Deposition by using a Double Discharge System with a HMDS/Ar/He/O2 Gas Composition
GaYoung Kim, JaeBeom Park, Geun Young Yeom (Sungkyunkwan University, Republic of Korea)
Silicon oxide thin films have been extensively investigated due to the outstanding chracteristics such as hardness, specific chemical structure, and high scratch resistance. In most of cases, SiOx thin films are deposited by the plasma enhanced chemical vapor deposition processes because the high quality materials can be obtained. However, the PECVD has some drawbacks that are related to vacuum system. Therefore, plasma deposition at atmospheric pressure has received attention as promising technology. Among the many kinds of AP-plasma sources, Dielectric Barrier Discharge(DBD) source which consists of two parallel electrodes can get the uniform and large-area glow discharge. Especially, double discharge system which composed of direct-type DBD and remote-type DBD was improved the various mechanical characteristics of SiOx thin film and increased the process efficiency.In this paper, SiOx thin films with a low content of impurities were deposited by using a double discharge system with a gas mixture of hexamethyldisilazane (HMDS)/ O2/ He/ Ar. The use of the double discharge system increased not only the SiOx thin film deposition rates, but also preocess efficiency. When AC voltage was applied to the remote-type DBD from 4 to 7 kV, the deposition rate of SiOx was increased from 7 to 36.1 nm/scan. Also as using double discharge system (5 kV, 20 kHz AC voltage was applied to the direct-type DBD), the deposition rate of the SiOx thin films was futher increased from 20 to 58.3 nm/scan with the increase of the AC biasing to the remote-type DBD from 4 to 7 kV. The improvment in the properties of SiOx films was partially related to the increased gas dissociation by the additional AC biasing of substrate.
PS-ThP-28 The Effect of a Low Plasma-Induced Damage Etching on sub-32nm Metal Gate/High-k Dielectric CMOSFETs Characteristics
KyungSuk Min, SeungHyun Kang, Geun Young Yeom (Sungkyunkwan University, Republic of Korea)
According to international technology roadmap for semiconductors (ITRS), as the critical dimension (CD) of metal-oxide-semiconductor field effect transistor (MOSFET) is scaled down to 45nm node and below, the present gate (poly-Si) with a high-k dielectric is intrinsically limited. Therefore, a metal gate compatible with a high-k dielectric, which is physically thicker with the same equivalent oxide thickness (EOT) has been investigated. For the etching of metal gate/high-k dielectric, reactive ion etching technique is currently applied to maintain accurate CD by etching the gate structure anisotropically with minimal damage on substrate. However, it can introduce plasma induced damages (PIDs) and was found to degrade the electric characteristics of metal gate/high-k dielectric CMOSFETs, particularly in the short channel devices. For low standby power (LSTP) application, an etch technique with low plasma-induced damage composed of neutral beam etching and atomic layer etching has been applied to metal gate and high-k dielectric etching of complementary metal-oxide- semiconductor field effect transistors (CMOSFETs), respectively, and their electrical characteristics were compared with those etched by conventional wet and dry etching techniques. It has been found that, after the etching using the low plasma-induced damaged etching technique, device performances have been improved compared to those etched by conventional wet and dry etching techniques. Especially, gate induced drain leakage and Ioff which are key factors for LSTP have been reduced significantly.
PS-ThP-29 Catalytic Activities of Metal/Carbon Compound used by Vacuum and Solution Plasma Processes
Hoonseung Lee, Maria Antoaneta Bratescu, Nagahiro Saito (Nagoya University, Japan)

Fuel cells have been recognized as a potential clean energy-converting device due to their high efficiency and low emissions. However, two major technical gaps limit their commercializations: cost and reliability. Currently, platinum (Pt)-based catalysts and their corresponding cathode catalyst layers are among the major causes which limit their performance and cost for proton exchange membrane (PEM) fuel cells, although these are the most promising and practical fuel cell catalysts. Some approaches have been studied to reduce the cost and to improve the performance over twenty years, but there has been no real breakthrough yet. Recently, most researchers focused on the use of carbon catalyst and non-rare metal catalyst for replacement of Pt-based catalysts. The former groups are divided in organic dope methods and inorganic dope methods on carbon material such as nanotubes or graphene sheets. The major drawback of organic and inorganic dope method are, respectively, low thermal stability and limited controlling of the process. Meanwhile, non-rare metal catalysts show low catalytic activities.

In this study, we synthesized nickel/carbon nano-particles produced by solution plasma process.

SPP is a useful and simple method for the metal NPs synthesis because this non-equilibrium plasma can provide extremely rapid reactions due to the reactive chemical species, radicals and UV radiation produced in atmospheric pressure plasma operating in glow discharge limits and offering a suitable medium to control the chemical reactions inside the solutions.

The SPP was generated by the electrical discharge between opposite nickel electrodes. The glow discharge in 0.1 M KCl solution was produced by using bipolar pulsed power supply operated at 1~2 kV of voltage, 15 kHz of pulse frequency and 2 μs of pulse width. The diameter of the electrode was 0.6 mm and the interelectrode gap was 0.5 mm. In addition, 0.1 g of dispersion treated carbon (CNT, CNB) was inserted in 100 ml solution.

M/C NPs morphology was investigated by transmission electron microscopy, energy disperse X-ray microanalysis, X-ray Diffraction, Fourier transform infrared spectroscopy. Cyclic voltammetry was demonstrated for evaluating catalytic activities.

In conclusion, as applied voltage increased, diameter of synthesized NPs decreased. The smallest synthesized NPs with 3 nm showed the higher oxygen reduction rate in catalytic activities according to decrease diameter.

PS-ThP-31 Plasma Etching of PTFE: Differences between Low and Atmospheric Pressure Treatments
Nicolas Vandencasteele, Julie Hubert, Thierry Dufour, Stéphanie Collette, Caroline De Vos, François Reniers (Université Libre de Bruxelles, Belgium)

PTFE samples were treated by O2/He plasma at various pressures, from low (6.67 Pa) to atmospheric pressure. Treatments were carried out using different mixtures of He and O2. The first results highlight two completely different etching mechanisms depending on the gas mixture used and working pressure.

The etching was studied by mass loss measurements, weighing the sample before and after plasma exposure.

At low pressure the strongest etching occurs for pure oxygen plasma while no etching is detected for helium plasma. The opposite is observed at atmospheric pressure, the strongest etching takes place with pure helium.

X-ray photoelectron spectroscopy (XPS) analysis was used to study the chemistry of the PTFE surfaces as well as the etching products. High resolution F1s and C1s peak show different etching products as the pressure and/or the gas composition are changed. In all cases both carbon and fluorine are detected. Depending on the plasma parameters (pressure and gas composition) either CF2 fragments (BE C1s = 292 eV) or CC (BE C1s = 285 eV) are detected.

Optical emission spectroscopy (OES) measurements were used to study the plasma phase and the etching products. A change in the He plasma emission was observed above a certain pressure (around 13.3 Pa). The plasma color changes from greenish to pink. This change could be related to the increase of the He metastable emission line at 389 nm. OES measurements also allowed us to detect etching products in the gas phase during the plasma exposure of the PTFE samples. Fluorine, CO and CO2 lines were detected.

Those various results allow us to suggest two different mechanisms of PTFE etching.

In the case of low pressure O2 plasma, the surface composition after the treatment and the etching products (detected by XPS and OES) suggest an etching mechanism where the C-F bonds are broken by charged particles (probably e-). Oxygen then reacts with the carbon backbone to produce CO2. The role of the charged particles was evidenced using different sample positioning and magnets.

In the case of atmospheric pressure treatments the etching occurs via the removal of CF2 fragments. The main active specie responsible for the etching seems to be metastable He atoms.

PS-ThP-32 Surface Modification of Polyethylene Terephthalate using Water Containing He/O2 and Ar/O2 Plasma
Perrine Leroy, Sami Abou Rich, Stéphanie Colette, François Reniers (ULB, Belgium)
Like many polymers, Polyethylene Terephthalate (PET) has excellent bulk physical and chemical properties but exhibit poor adhesion abilities. Therefore, in order to improve the deposition of a subsequent layer onto such compounds, a pretreatment of the surface is usually performed. Plasma treatments have a lot of advantages compared with other methods used to treat and modify such polymers like chemical, thermal or mechanical processes. Indeed the modifications only affect the top layer of the material without changing the bulk properties and it is environmental friendly (solvent free). It is well known that plasma treatment improves the wettability and the hydrophilicity of polymers by surface oxydation, creating at the interface new oxygen-based functionalities such as hydroxyl or carboxylic acid groups.
In this work, plasma treatment was performed on Polyethylene terephthalate (PET) using a Dielectric Barrier Discharge in Helium/ Oxygen and Argon/Oxygen mixtures at atmospheric pressure, and containing various concentration of water vapour. The experimental set up uses a roll-to-roll system, the film passing through electrodes at varying speeds (0.01m/min and 0.1m/min which correspond to film exposure times of 0.1 and 10 seconds respectively).
The goal of this work is to evaluate the influence of water vapour injection into the discharge on the polymer functionnalisation. It is shown that water changes the plasma characteristics, and strongly modifies the oxidative properties of the plasma. The influence of the water vapour partial pressure, the gap between electrodes and the power of the discharges are emphasized in order to optimize the surface wettability.
Chemical and physical changes on the polymer surface plasma treated are observed using X-ray Photoelectron Spectroscopy (XPS), Water Contact Angle and Atomic Force Microscopy (AFM) measurements.
PS-ThP-33 Numerical Investigation of Optimum Conditions for Magnetic Neutral Loop Discharge Plasma Production
Sang-heum Kim, Demiral Akbar, J. Leon Shohet (University of Wisconsin-Madison); Byung Moon, W-J Choi, YuolMoon Sung (Kyungsung University, Korea)

In processing plasma sources for semiconductor device fabrication, both uniformity over a large area and controllability to obtain desirable plasma parameters are required. A magnetic neutral loop discharge (NLD) plasma1) has been proposed as a new plasma source which satisfies these requirements. The position and the diameter of the plasma can be easily controlled by changing the position and the diameter of a neutral loop (NL). It has been theoretically shown that the electron motion becomes nonlinear around the NL when the radio frequency (RF) electric field is applied along the NL perpendicular to the magnetic field lines2). The electron makes meandering motions and acquires kinetic energy of several tens of electron-volts from the RF electric field where the meandering range contains the region between the NL and the electron cyclotron resonance (ECR) region, the length of which is designated by L3,4). Through such a process, the electrons are heated efficiently without collisions. The electron behavior near the NL determines the uniqueness of this plasma production technique and therefore details of its motion are needed. In this work, in order to accurately understand the characteristics of an NLD plasma, a numerical analysis of electron behavior around the NL was performed based on a 2-dimensional model in which three-dimensional effects were taken into account. For obtaining the optimum conditions for plasma production, the relationship between the normalized electric field and the average electron energy were also investigated.

This Work was supported by Kyungsung University Research Program (2011) and by the Semiconductor Research Corporation under Contact No. 2008-KJ-1871 and the National Science Foundation under Grant CBET-1066231.

[1] Z. Yoshida and T. Uchida., Jpn. J. Appl. Phys. 34 (1995) 4213.

[2] Z. Yoshida et al., Phys. Rev. Lett. 81 (1998) 2458.

[3] Y. M. Sung et al., J. Vac. Sci. Technol. A18 (2000) 2149.

[4] Y. M. Sung et al., J. Vac. Sci. Technol. B20 (2002) 1457.

PS-ThP-34 No- Residue and High- Rate Etching of InGaAs by High Density Plasma
Yusuke Ohsawa (Tokyo Electron Technology Center, America, LLC); Hiroki Nakajima, Tetsuya Nishizuka, Masahiko Takahashi (Tokyo Electron America); Ying Trickett, Genji Nakamura, Akiteru Ko (Tokyo Electron Technology Center, America, LLC); Hiroto Ohtake (Tokyo Electron Technology Development Institute, INC., Japan); Craig Huffman, Richard Hill (SEMATECH)
III-V materials are promising candidates for the channel materials beyond 10 nm generation of CMOS-logic devices. Among them, InGaAs was one of the best materials for NFET channel because of the high electron mobility. However, there are few reports of InGaAs etching. Previous reports showed that the problems were the residue of Indium and low etching rate. Because these issues came from the low reaction between the InGaAs surface and radicals, we have to enhance the surface reaction.In this paper, the precise InGaAs etching was investigated by high electron density (high Ne)/ low electron temperature (low Te) plasma with hot stage operation to improve the issue of residue and low rate. We used RLSATM Etch tool as an InGaAs etcher. This tool has high Ne of more than 1×1011cm-3 and low Te of less than 1 eV around the stage because RLSATM Etch tool uses the surface wave plasma and diffusion chamber. A selection of gas chemistry and temperature was optimized to enable the proper plasma reactivity with Indium without creating residues. High rate of more than 100nm/ min was obtained without Indium residue. Since the reaction of Indium- methyl radical are enhanced by more than 150 oC, it was considered that the etching reaction was enhanced by hot stage. In addition, the re-deposition of by-products was reduced because of low electron temperature. Based on additional analytical investigations, we found that the combination of high Ne- low Te plasma and hot stage is one of the best solution for no-residue and high rate etching of InGaAs.
PS-ThP-35 Using Capillary Array Windows to Minimize Ion Bombardment Effects during Plasma Processing of Dielectrics
Kai-wen Hsu, Faraz Choudhury, He Ren (University of Wisconsin-Madison); Byung Moon (Kyungsung University, Korea); Alex Olson (University of Wisconsin-Madison); YuolMoon Sung (Kyungsung University, Korea); Yoshio Nishi (Stanford University); J. Leon Shohet (University of Wisconsin-Madison)
Microelectronic devices are often exposed to radiation and charged-particle bombardment from processing plasmas during their fabrication stages often resulting in damage to the dielectric materials. This work investigates the effectiveness of capillary-array windows to partially block charged particles in order to distinguish the effects of photons and charged particle bombardment during plasma exposure. A capillary-array window is placed over a 1×1 cm2 exposed area of an aluminum wafer chuck [i] in an ECR reactor and the substrate current during plasma exposure is measured at different bias voltages with and without the capillary window in order to determine the effectiveness of the window to partially block charged particles. Additionally, using an Object-Oriented-Particle-in-cell (OOPIC) code [ii], the ion and electron fluxes passing through the window can be estimated. The experimental measurements and the simulation results confirm that the capillary-array window can be arranged to block almost all ions during plasma exposure.
 
This work has been supported by Semiconductor Research Corporation under Contact No. 2008-KJ-1871 and the National Science Foundation under Grant CBET-1066231.
 
[i] T. Lucatorto, T. J. Mcllrath, and J. R. Roberts, Appl. Opt. 18, 2505 (1979)
[ii]Y. M. Sung, M. Wada, M. Otsubo, C. Honda, Y. K. Kim, and C. H., J. Appl. Phys. 43 800 (2004)
 
 
PS-ThP-36 Deposition of YSZ Thin Films by Laser-Assisted Plasma Coating at Atmospheric Pressure (LAPCAP)
Zihao Ouyang, YuiLun Wu, Priya Raman, Liang Meng, Tae Cho, David Ruzic (University of Illinois at Urbana Champaign)

A laser-assisted plasma-coating technique at atmospheric pressure (LAPCAP) for depositing thin yttria-stabilized-zirconia (YSZ) films has been developed. This technique allows columnar-structured YSZ films with a thickness of 1~5 µm to be prepared on a Ni-based superalloy substrate at atmospheric pressure. The atmospheric pressure plasma is generated in a microwave-induced plasma torch system with a gas temperature Tg of more than 2,000 °C. Optical emission spectroscopy (OES) technique has been used to spatially analyze some critical characteristics of plasma, such as electron density (ne > 1015 cm-3), electron temperature (Te ~ 1 eV), and plasma gas temperature (Tg ~ 800-1200 °C). The thermally grown oxide (TGO) layer is found to affect the film morphology significantly, and characteristics of TGO grown by pre-heating the substrate to 800-1200 °C are investigated. TGO in the form of α-Al2O3 with a thickness of ~ 1 µm is found to provide a means to deposit high quality, adhesive thin YSZ films on substrates with columnar microstructure, same as seen in films by high-vacuum electron-beam PVD method. The morphology and characteristics of the films have been compared at various deposition temperatures (100-1200 ºC) and laser energy density (1-10 J/cm2), using microanalysis techniques such as scanning electron microscope (SEM), focused ion beam (FIB), X-ray photoelectron spectroscopy (XPS), and X-ray diffraction (XRD).

PS-ThP-38 Plasma Propagation Speed and Electron Temperature in Atmospheric Pressure Non-thermal Bioplasma Jet
Pradoong Suanpoot (Maejo University Phrae Campus, Thailand); YongGyu Han, WonYoung Lee, Guangsup Cho, EunHa Choi (Kwangwoon University, Republic of Korea)
Space and time resolved discharge images from an atmospheric pressure non-thermal bioplasma jet have been observed by a high-speed single-frame camera to investigate the electron temperatures. The plasma propagation speed on the cathode has been shown to be about 5 km/s at input voltage of 4.7 kV and driving frequency of 40 kHz. Particularly, the electron temperature in atmospheric pressure non-thermal bioplasma jet were found to be about 1.5 eV at input voltage of 4.7 kV and driving frequency of 40 kHz, respectively. Implications of the results and directions for further studies are discussed. Key words : Non-thermal plasma jet, Plasma propagation speed, Electron temperature ______________ # This project is supported by Kwangwoon University Research Fund, Korea .
PS-ThP-39 Increase Film Quality and Campaign Length in Reactive Sputtering Applications With Pulsed-DC Power
Doug Pelleymounter (Advanced Energy Industries Inc.)
In reactive sputtering applications, arcs can contribute to costly film defects and process interruptions. Charge buildups on small areas of the target cause these electrical breakdowns, or arcs, which manifest as particles on the substrate—leading to defects, pinholes, rate reduction, short circuits, or other undesirable process disturbances and loss of film quality. Entire lots are oftentimes scrapped due to poor quality thin film layers, and severe arcing can damage equipment and shut down entire lines for maintenance. While there are several types of process power technologies available to help manage arcs, pulsed-DC technology offers a marked performance advantage over AC or straight DC power. The addition of low frequency pulsing lowers the effective electronic voltage of the whole plasma while maintaining the actual delivered voltage of individual electrons for high deposition rate and film flatness. Improved packing density also results. Adding reverse voltage while pulsing momentarily stops the energized electrons in the plasma and creates a haphazard path to the substrate, preventing hills and valleys from forming. This paper discusses how pulsed DC power conversion technologies can do much more than supply power but effectively manage arcs for greater surface control, film quality, and overall process control.
PS-ThP-40 Control of Radical/Ion Ratios in Electron Beam-Generated Plasmas and their Effect on Polymer Surface Modification
Scott Walton, Evgeniya Lock, Richard Fernsler (Naval Research Laboratory)

Electron beam-generated plasmas are unique type of plasmas generated when high electron energy beam is injected into the gas. The beam preferentially ionizes the gas molecules, thereby producing daughter electrons with energies up to half of the initial beam energy. The daughter electrons, however, quickly lose energy as they collide with gas molecules. Thus, without an external electric field to heat them, the daughter electrons cool and they soon outnumber the beam electrons and the energetic daughter electrons responsible for ionization. The low-energy “plasma” electrons are not only far more populous, but also largely determine the plasma characteristics – i.e. the plasma density ne, plasma potential fp, and electron temperature Te. Typically Te is 1 eV or less in beam-produced plasmas and thus the kinetic energy of the ions bombarding the surface is below the polymer bond energies. In this talk we estimate the charged, excited and resonant species concentrations and the fluxes bombarding the polymer surface. We correlate the ion to radical ratios in different gas environments with observed morphological and chemical modifications in polystyrene, poly(methyl methacrylate) and polyethylene. This work was supported by Naval Research Laboratory Base Program.

PS-ThP-43 Atomic Layer Etching of Ultra-thin High-k Dielectric Film for Gate Oxide in MOSFET Devices
ChanKyu Kim (Sungkyunkwan University, Republic of Korea); JongKyu Kim (Samsung Electronics Co. Ltd., Republic of Korea); Geun Young Yeom (Sungkyunkwan University, Republic of Korea)
As the dimensions of integrated circuit devices, such as metal-oxide-semiconductor field effect transistors (MOSFETs), etc., continue to be scaled down, the thickness of gate dielectrics, such as SiO2, etc., also continues to be scaled down to nanosize. Tunneling currents through the gate dielectric, however, limit the scaling of SiO2 to approximately a few nanometers. Therefore, for further scaling down of devices, alternate gate dielectric materials with higher dielectric constants need to be used to reduce the gate leakage current while maintaining the gate dielectric capacitance with a thicker material. Considerable research attention has focused on the potential of HfO2 as a next generation gate dielectric material due to many advantages in comparison with SiO2, such as a high dielectric constant (15–25), good thermal stability, wide band gap (5.6 eV) and large band offsets (1.5 eV). However, conventional RIE of ultra-thin HfO2 film tends to cause electrical and physical damage to the surface of the devices due to use of energetic reactive ions and the difficulty in the precise etch rate (depth) control at an atomic scale. Precise etch depth control of ultra-thin HfO2 (3.5 nm) films applied as a gate oxide material was investigated by using atomic layer etching (ALET) with an energetic Ar neutral beam and BCl3 gas to minimize etch damage. A monolayer etching condition of 1.2 Å/cycle with a low surface roughness and an unchanged surface composition was observed for ultra-thin, ALET-etched HfO2 by supplying BCl3 gas and an Ar neutral beam at higher levels than the critical pressure and dose, respectively. When HfO2-nMOSFET devices were fabricated by ALET, a 70% increase in the drain current and a lower leakage current were observed compared with the device fabricated by conventional reactive ion etching, which was attributed to the decreased structural and electrical damage.
PS-ThP-44 Study on the Plasma Damage on the Interface between the Titanium Nitride and Hafnium Oxide during Etching Carbon Mask on the Titanium Nitride
Keun Hee Bai, Yong-Ho Jeon, Myeong-Cheol Kim, Siyoung Choi (Samsung Electronics Co. Ltd., Republic of Korea)

We investigated the etch damage on the interface between the titanium nitride(TiN) and hafnium oxide(HfO2) during etching the carbon layer on the TiN. HfO2 is widely used as a high-k gate dielectric material to reduce the gate leakage current and improve the reliability in the semiconductor device. TiN and amorphous carbon layer(ACL) are deposited on the HfO2 as a gate electrode and mask material, respectably. We fabricated complimentary metal oxide semiconductor (CMOS) devices with patterning ACL on the TiN by dry etching and removing the TiN layer by wet chemical. We realized that an interlayer between the TiN and HfO2 layer was generated due to the plasma damage during the ACL etching and the interlayer was not removed clearly by the wet chemical, which resulted in device degradations. We studied the properties of the interlayer with changing the ACL etching recipe to find the key factor of generating the interlayer. The properties changed significantly with the ion energy and the gas composition of the ACL etch recipe.

PS-ThP-45 Experimental and Simulation Studies of Capacitively Coupled Silan-Hydrogen Plasmas for Deposition of m-C Si Film
Chih-Hsiang Fan, Son-En Lien, Keh-Chyang Leou (National Tsing Hua University, Taiwan, Republic of China); Cheng-Chong Hsieh, Ming-Chuang Wang, Chi-Fon Ai (Institute of Nuclear Energy Research, Taiwan, Republic of China)
Capacitively coupled SiH4/H2 plasmas (CCP) have benn widely employed for depostion of Si film for applications such as thin film transistors and thin film solar cells. For Si thin film solar cells, requirements for yielding higher vonversion efficiency at a lower cost calls for a high rate and high uniformity plasma porcess for deposition of microcrystalline Si film. A better understanding of the plasma discharge is needed. In this study, a VHF SiH4/H2 CCP discharge has been investigated by both numerical simulation, based on fluid model, and experimental study employing plasma optical emission spectroscopy analysis. Simulation was performed for VHF SiH4/H2 CCP operated at 27.12 MHz with 27 species and 47 reactions. Simulation results show that, for a power density of 0.4 W/cm2, a gas pressure of 600 Pa and a SiH4/H2 flow rate ratio, or hydrogen dilution ratio, of 2.5/200, electron density profile is quite unifrom in the discharge region with a plasma desity up to 1.8Χ1016 m-3. For the key species related to the film growth, i.e., SiH3 and H, the densities of their fluxes arriving on the grounded substrate surface are uniform. Their ratio, which controls the crystaline fraction of the Si film, is strongly dependent on plasma parameters, such as rf power, hydrogen dilution dilution ratio and gas pressure. Results from experimental OES analysis show similar trends as predicted by the simulation as rf power and hydrogen dilution ratio were varied but little correlation was found as gas pressure was changed. The discrepency, however, can be explained by analyzing the reactions responsible for the production and loss of SiH3 and SiH2. The detailed results from simulation and OES analysis of the parametric analysis ob varying, rf power, gas flow rates and gas pressures will be presented.

*Work supported by the National Science Council of ROC.

PS-ThP-46 Diagnostic Study of Plasmas in Solution Driven by Pulsed Power - Study of History Effect and Observation of S2 Emission
Chao-Yu Sie, Cheng-Che Hsu (National Taiwan University, Taiwan, Republic of China)
Diagnostic studies of plasmas in salt solutions are performed. The plasma is sustained by a pulse power source with the pulse voltage up to 600 V, Ton (voltage on duration) between 10 μs to 1 s, and Toff (voltage off duration) between 30 μs to 3 s. The driving electrode is made of a platinum wire with a diameter of 0.5 mm covered by a glass tube to precisely define the area in contact with the solution. The grounding electrode is a bare platinum wire with the same diameter with a length of approximately 1 cm. The power electrode is set upward and both electrodes are immersed in salt solution. Current and voltage probes are used to monitor the current and voltage waveforms, respectively. An optical emission spectrometer is used to monitor the emission spectra generated by the plasma. In the first part, we demonstrate the observation of the history effect. With a sufficiently short Toff (less than 100 ms), the history effect, i.e. the plasma generated in one power cycle is consistently affected by that generated in the previously cycle, is observed when NaNO3 solution are used. With the history effect, the maximum current within a power cycle increases with cycles, from the first cycle upon Ton. With a sufficiently long Toff (~2 s), good cycle to cycle reproducibility is observed, and the current waveform in each cycle is nearly identical. The history effect is therefore fully eliminated. The transition characteristic time is 0.1 to 1 s, which suggests heating of the electrode is the major mechanism for the history effect. In the second part, we show the detection of S2 emission when the plasma is ignited in low concentration Na2SO4. The intensity of S2 emission gradually drops to the noise level with a long operating time. This observation suggests that the optical emission emanating from the plasma involves a more complex pathway than those suggested in the literature.
Time Period ThP Sessions | Topic PS Sessions | Time Periods | Topics | AVS2012 Schedule