AVS2009 Session PS-TuP: Plasma Science and Technology Poster Session

Tuesday, November 10, 2009 6:00 PM in Room Hall 3

Tuesday Evening

Time Period TuP Sessions | Topic PS Sessions | Time Periods | Topics | AVS2009 Schedule

PS-TuP-1 Extension of Aluminum Etch using a Carbon Mask for High Aspect Ratio 70nm Al Etch with a Chlorine Based Chemistry
Guowen Ding, Benjamin Schwarz, Changhun Lee (Applied Materials, Inc)
A strippable amorphous carbon hardmask has many advantages over traditional resist or oxide hard masks, it is widely used in frond end applications. However carbon masks for Al etch in backend applications has not been employed. The final CD as well as the aspect ratio that can be achieved with a carbon based mask for Al etching, and how that mask would benefit over traditional PR masks and oxide hard mask are discussed. In this study, we focused on non-fluorine or low-fluorine containing chemistries to achieve a high mean wafers between clean (MWBC) performance. The selectivity/budge for the film stacks (PR => SiON => carbon film =>Al etching) using this approach are illustrated. The carbon mask has shown to achieve less than half of final CD while doubling the mask selectivity over than those best achieved in the PR mask. CD uniformity of 5 nm (3sigma) uniformity was achieved. We demonstrate a wide range of CD tunability (± 20 nm). Throughput and throughput optimization is discussed. The different passivation mechanisms for different masks, PR mask, Oxide hard mask and carbon mask in Al etch are discussed. We demonstrated similar trends between resist and carbon and baseline what leads to excellent transferability of the etch process. This study shows the significant process benefit of the carbon-Al etch in comparison to the oxide HM and PR mask, which will allow Aluminum etch to be continued from an etch perspective to sub 50nm lines with 6:1 aspect ratio.
PS-TuP-2 A Study on the Etching Characteristics of Magnetic Tunnel Junction Films for Spin Transfer Torque MRAM
Minsuk Lee (KAIST, Republic of Korea); Jooyoung Moon, Sanghoon Cho, Jinki Jung, Sungkwon Lee, Hyeongsoo Kim, Sungki Park (Hynix Semicon., Republic of Korea); Won-Jong Lee (KAIST, Republic of Korea)

As one of the candidates for universal memory, spin transfer torque MRAM (STT-MRAM) based on magnetic tunnel junction (MTJ) shows several important features such as nonvolatility in data storage and fast writing speed (2~4ns). The most critical engineering challenge for the fabrication of STT-MRAM is the development of etching technology. The etching of magnetic films has severe problems due to the nonvolatility of the metallic byproducts at practical processing temperature. The chlorides, fluorides, bromides and iodides of transition metals have much higher boiling temperatures compared to those of the typical materials used in semiconductor industry. In addition to this intrinsic problem, we should make the MTJ etching profile more vertical while minimizing the redeposition in order to avoid electrical shorting between free layer and pined layer in MTJ structure.

The object of this study is to provide characteristics of dry etching process available for sub 100nm STT-MRAM application. In this study, we investigate the effect of noncorrosive gas chemistry on MTJ etch rate, profile angle and hard mask selectivity, as a function of various process parameters such as RF power, working pressure, gas flow rate and ESC temperature in ICP etching system. It is also examined how the post etching treatment including oxygen plasma ashing and wet cleaning process affects the interface of MTJ films. The characteristics of MTJ interface are carefully analyzed by using high resolution transmission electron microscope (HR-TEM), electron energy-loss spectroscopy in the TEM (TEM-EELS) and X-ray photoelectron spectroscopy (XPS). Highly anisotropic profile of nearly 80 degrees is obtained by optimizing the etching condition and hard mask process scheme. Electromagnetic characteristics are also reported as a function of various etching conditions.

PS-TuP-3 Interactions of Plasma with Dielectrics during Ultra Low-k Dual Damascene Etch
Yifeng Zhou, Ryan Patz, Andrew Darlak, Kevin Zhou, Jeremiah Pender (Applied Materials, Inc.); Cathy Labelle (GLOBALFOUNDRIES); Dave Horak (IBM Research)

Higher porosity and new film chemistries are required to drive down the k value of porous ultra low k dielectrics integrated in advanced BEOL stacks. In the k=2.2 porous SiCOH films used in 32nm and 22nm BEOL stacks, higher carbon content and higher Si-C/Si-O ratio render these films more chemically similar to photoresist and to SiCN barrier layers. Hence, there is greatly reduced selectivity between the mask and low-k dielectric, and between the low-k dielectric and the barrier film. The via etch process has to move to a drastically different plasma regime in order to achieve mask selectivity and barrier selectivity, as well as to control RIE lag. New film chemistry and increased porosity also result in new film/plasma interactions, such as surface roughness phenomena observed both on planar and vertical surfaces. In some cases, plasma modification to the film from one step is only observed several steps beyond the modification point. In this work, film surface roughness phenomena will be examined for a k=2.2 porous SiCOH film utilizing a via first trench last integration scheme. Experiments show that surface roughness can arise from several etch steps if plasma conditions are not carefully controlled. Results will be presented with some of the process regimes explored.

This work was performed by the Research Alliance Teams at various IBM Research and Development Facilities.

PS-TuP-4 Highly Selective Etching of Silicon Nitride to CVD a-C in Dual-Frequency Capacitively Coupled CH2F2/H2 Plasmas
Jinsung Kim, Nae-Eung Lee (Sungkyunkwan University, Korea)

For the fabrication of a multilevel resist (MLR) based on amorphous carbon (a-C) layer and Si3N4 hard-mask layer (underlayer), etch selectivity of the Si3N4/a-C layer becomes increasingly critical with the feature size reduction. In this work, therefore, the highly selective etching process of the Si3N4 layer using chemical-vapor-deposited (CVD) a-C etch-mask was investigated by varying the following process parameters in CH2F2/H2/Ar plasmas: etch gas flow ratio, high-frequency source power (PHF) and low-frequency source power (PLF) in a dual-frequency superimposed capacitively coupled plasma etcher. It was found that infinitely high etch selectivities of the Si3N4 layers to the CVD a-C on both the blanket and patterned wafers could be obtained for certain process conditions. In particular, the etch gas flow ratio was found to play a critical role in determining the process window for infinite Si3N4/CVD a-C etch selectivity, due to the change in the degree of polymerization. The etch results of patterned ArF PR/BARC(bottom anti-reflective coating)/SiOx/CVD a-C/Si3N4 MLR structure supported the possibility of using a infinitely high selective etch processes of the Si3N4 layer using a very thin CVD a-C etch-mask for reduced overall aspect ratio of MLR structure during patterning.

PS-TuP-5 Infinitely High Selective Etching of ITO Binary Mask Structure for Extreme Ultraviolet Lithography (EUVL)
YoungRok Park, Nae-Eung Lee (Sungkyunkwan University, Korea)
Currently, extreme ultraviolet lithography (EUVL) is being investigated for next generation lithography. Among the core extreme ultraviolet lithography (EUVL) technologies, mask fabrication for EUVL is of considerable importance due to the use of new reflective optics having a completely different configuration compared to those of conventional photolithography. This study investigated the etching properties of EUVL binary mask structure including newly proposed absorber layer of ITO, Ru (capping/etch-stop layer), and Mo-Si multilayer (reflective layer) by varying the gas flow ratio, dc self-bias voltage (Vdc) and etch time in Cl2/Ar inductively coupled plasmas. ITO absorber layer needs to be etched with no loss of Ru layer on the Mo-Si multilayer for fabrication of the EUVL ITO binary mask structure proposed here. The ITO layer could be etched with an infinitely high etch selectivity over Ru etch-stop layer in Cl2/Ar plasmas with Vdc of -50 V even with increasing over etch time. Etching of the stacked mask structures with a 200-nm line/space e-beam resist pattern showed a vertical profile and an etch-stop on the Ru etch-stop layer.
PS-TuP-6 Improvement of Surface Roughness in SOI Wafer Fabrication using Cl2-based Neutral Beam Etching
TaeHong Min, JeKwan Yeon, ByoungJae Park, SeKoo Kang, WoongSun Lim, Geun-Young Yeom (Sungkyunkwan University, Korea)

For the next generation silicon substrates applied to nano-scale semiconductor devices, silicon-on-insulator (SOI) wafer is known to be one of the outstanding candidates because of the advantages such as high speed, high packing density, immunity from latch-up, low power dissipation, high resistance to ionizing radiation, etc.

For the SOI wafer, the surface roughness of SOI wafer is very important because it can change the physical and chemical properties of the top silicon layer of the SOI wafer. Many approaches have been attempted to reduce the surface roughness of the SOI wafer by chemical mechanical polishing, high temperature annealing, wet etching, etc. but these methods are known to have some problems such as long processing time, reliability of exact thickness control, etc.

In this study SOI wafers were etched by a chlorine neutral beam obtained by the low angle forward reflection of an ion beam and the surface roughness of the etched wafers was compared with that of the SOI wafers etched by a chlorine ion beam. The result showed that the surface roughness of the SOI wafer etched by the chlorine neutral beam was significantly improved compared to that etched by the chlorine ion beam. By etching about 150nm silicon of about 300nm-thick top silicon layer of SOI wafer using the chlorine neutral beam, the rms surface roughness lower than 1.5 Å could be obtained with the etch rate of about 750 Å /min while that etched by the chlorine ion beam showed the rms surface roughness higher than 2.5 Å.

The induced defects in the surface area of the SOI wafer by the ion beam and neutral beam were observed by high-resolution-transmission-electron-microscopy(HR-TEM). An atomic force microscopy(AFM) was employed to measure and evaluated the surface roughness of the SOI wafer before and after the etching process, respectively.

ACKNOWLEDGMENT

This work supported by the National Program for Tera-Level Nano devices of the Korea Ministry of Education, Science and Technology (MEST) as a 21st Century Frontier Program.

PS-TuP-7 In-situ Evaluation of Ashing Plasma Damages on Porous SiOCH Films Due to Ions, Radicals, and Radiation
Hiroshi Yamamoto, Keigo Takeda, Makoto Sekine, Masaru Hori (Nagoya University, Japan); Takeshi Kaminatsui, Katsumi Yamamoto, Hisataka Hayashi, Itsuko Sakai, Tokuhisa Ohiwa (Toshiba Corporation Semiconductor Company, Japan)

Low dielectric constant (low-k) materials for interlayer dielectric are important for the improvement of ULSI devices performance. The low-k films tend to be damaged during plasma processes. The damage free plasma processes are strongly required. Although many researchers have been studying on the plasma damage on the low-k films, there has been little in-situ evaluation of plasma damages. The in-situ evaluation is crucial for the clarification of damage generation mechanism because the damaged low-k films are modified after exposing to atmosphere. This work investigated the mechanism of plasma ashing damage on the porous SiOCH films by in-situ evaluation. We examined the effect of ions, radicals, and radiation using PAPE technique. The thickness and refractive index of porous SiOCH films were measured using in-situ spectroscopic ellipsometry. Si-CH3 bond absorption was measured using in-situ FT-IR.

The ashing plasma was exited in a 100 MHz CCP etcher. We adopted porous SiOCH films (k = 2.3) as low-k films in this study. The ashing process condition was total gas pressure of 2.0 Pa, 100 MHz source power of 450 W, substrate temperature of 20 °C. In the evaluation, a Si plate or a MgF2 window which transmits the radiation (greater than 115 nm in wavelength) were placed at 1 mm above or just on the low-k film during the ashing. We carried out 4 kinds of experiments : (a) nothing for evaluating of the interaction of ions, radicals, and radiation, (b) Si plate for evaluating of the effect of radicals, (c) MgF2 window for the interaction of VUV radiation with radicals, (d) MgF2 window with no space for the effect of VUV radiation.

In the case of H2 plasma ashing, we confirmed that the interaction of ions, radicals, and radiation or that of radicals and radiation decreased the thickness of the porous SiOCH film. The interaction of radicals and radiation caused the increase of the refractive index. The interaction of ions, radicals, and radiation or that of radicals and radiation caused the decrease of Si-CH3 bond absorption.

The experimental results showed that H radicals extracted Si-CH3 bond and that effect was drastically promoted by radiation and ions. The decrease of Si-CH3 bond caused the decrease of polarizability and density of the film. However, ions made the film contract. Then, the refractive index of the films exposed to ions, radicals and radiation drastically increased. From these results, we proposed a mechanism of the plasma damages on porous SiOCH films.

This work was supported by Grant-in-Aid for Scientific Research (21・10187).
PS-TuP-9 Origin of Electrical Changes Occurring at Plasma Etching Endpoints
Mark Sobolewski, David Lahr (National Institute of Standards and Technology)
When a plasma etch consumes one layer and exposes an underlying layer, changes are detected in measured electrical parameters, such as impedance magnitude, phase, and dc self-bias voltage. Consequently, these electrical signals are useful for endpoint detection, i.e., for determining when to stop an etch. However, the mechanisms responsible for the observed electrical changes are not well understood. To investigate these mechanisms, we performed experiments and numerical modeling of CF4/Ar plasma etches of thermal silicon dioxide films on silicon substrates, in an rf-biased, inductively coupled plasma reactor. A wave cutoff probe was used to measure the plasma electron density as a function of time during etching. As the etch breaks through the oxide and exposes the underlying silicon, changes in the gas-phase densities of etch products and reactants cause the electron density to increase. This increase (and an accompanying increase in ion current) has a large effect on the measured electrical signals. Using a numerical model and measurements made at varying bias frequencies, the effect of changes in electron density can be distinguished from smaller effects caused by other parameters that may vary at endpoint, including the electron temperature, average ion mass, and the ion-induced emission of electrons from the wafer surface. In addition to explaining the experimental results, the model provides predictions, over a wide range of conditions, for the sensitivity and reliability of the electrical endpoint signals.
PS-TuP-10 Optimization of Precursor Injection in an Atmospheric Pressure Plasma Jet System
Floran Peeters (Eindhoven University of Technology, The Netherlands); Roel Dams, Robby Rego, Marjorie Dubreuil, Dirk Vangeneugden (Flemish Institute for Technological Research (VITO), Belgium); Mariadriana Creatore, Richard van de Sanden (Eindhoven University of Technology, The Netherlands)

Atmospheric Pressure Plasma Enhanced Chemical Vapor Deposition (AP PECVD) of thin films is a recently emerged technology, showing important advantages in comparison with the traditional and well established low pressure plasma enhanced deposition methods. The main benefit of AP-PECVD is the potential of cost efficient in-line production without expensive and bulky vacuum equipment.

In this work, an innovative AP plasma jet system is investigated which serves as a pilot system for industrial scale equipment, the VITO PlasmaLine®. Applications include moisture/oxygen diffusion barriers as well as grease barriers, UV curing of coatings or chemical activation of a surface. For industrial application a high throughput (~ 100-1000 m/min) is critical in order to compete with conventional techniques, such as wet chemical coating. Barrier coating deposition by AP-PECVD on polymer substrates has been demonstrated to be superior to wet chemical coating, with less consumption of precursor material [1], though many technical challenges remain to obtain the desired (dynamic) growth rates.

The pilot equipment utilizes a 0.5 mm double slit configuration with 1000-2000 W power input at a frequency of 40-50 kHz with N2 as the primary carrier gas. By utilizing the plasma afterglow remote from the source, uniform surface treatment can be achieved despite the filamentary discharge in the slits. Deposition on the electrodes is prevented by injection of precursor into the jet and because of the remote nature of the plasma source the thermal load on the substrate is minimized, making it ideally suited for treatment of polymers and paper.

A key area for improvement and upscaling of the pilot system for industrial application is optimization of gaseous and liquid (aerosol) precursor injection. To this end, extensive characterization of the plasma jet is undertaken, including current-voltage, fast imaging and optical emission and absorption measurements, with focus on the dynamics of gaseous and aerosol precursor particles in the jet. For optimum control over the gas distribution and precursor injection, Computational Fluid Dynamic models are presented in conjunction with the experimental work.

[1] Aerosol-assisted plasma deposition of barrier coatings using organic-inorganic sol-gel precursor systems, Vangeneugden D, Paulussen S, Goossens O, et al., CHEMICAL VAPOR DEPOSITION Volume: 11 Issue: 11-12 Pages: 491-496 Published: DEC 2005

PS-TuP-11 Plasma Etching of SiO2 Using a Pin-To-Plate Dielectric Barrier Discharge in Atmospheric Pressure
JongSik Oh, JaeBeom Park, Elly Gil, Geun-Young Yeom (Sungkyunkwan University, Republic of Korea)

These days, atmospheric pressure plasmas are being investigated as the application to the flat panel display device processing such as indium tin oxide etching, the deposition and etching of thin film transistor materials (SiO2, amorphous silicon, and Si3N4) in addition to the surface treatment. Especially, among the various atmospheric pressure plasmas sources, much attention has been paid to Dielectric Barrier Discharge (DBD) due to its potential to numerous industrial applications such as plasma ashing, etching, thin film deposition, etc. The DBD, which is consisted of two parallel electrodes covered by dielectric plates, has been studied most widely due to the easier generation of stable glow discharges and the possibility of large-area plasma processing compared with other atmospheric pressure plasma sources.

In this study, using a modified DBD called “pin-to-plate DBD”, SiO2 was etched and its plasma characteristics were investigated. Especially, the effect of additive gas such as CF4 and C4F8 gas to the gas mixture of N2 (60 slm)/ NF3 (600 sccm) on the SiO2 etch characteristics was investigated. The results showed that the increase of C4F8 (200 ~ 800 sccm) to the gas mixture decreased the SiO2 etch rate continuously, while, the addition and increase of CF4 (1 ~ 10 slm) to the gas mixture increased the SiO2 etch rate until 7 slm of CF4 was added and the further increase of CF4 decreased the SiO2 etch rate . The increase of SiO2 etch rate up to 7 slm CF4 is from the effective removal of Si in SiO2 by F atom through the removal of oxygen in SiO2 by carbon in CFX in the plasma. However, the decrease of SiO2 etch rate with further increase of CF4 was related to the formation of a thick C-F polymer layer formed on the SiO2 surface. The SiO2 etch rate of about 243 nm/min could be obtained with the gas mixture of N2 (60 slm)/ NF3 (600 sccm)/ CF4 (7 slm) when input voltage and operating frequency to the source were 10 kV and 30 kHz, respectively.

PS-TuP-12 Diagnostic Study of Microplasmas in Contact with Saline Solution
Hungwen Chang, Anhsuan Hsieh, Chialo Chen, Chengche(Jerry) Hsu (National Taiwan University, Taiwan)
Microplasmas in contact with saline solution are studied. This microplasma is sustained using a DC power source with the output voltage up to 600 V and the current up to 1.5 A. The powered electrode is the electrode at which the plasma forms. It consists of a thin platinum wire ( 0.5 mm in diameter) covered by a glass tube except the 2-mm near-tip area. The grounding electrode is a 1 cm * 3 cm- and 0.5-mm-thick stainless steel sheet plate. Both electrodes are immersed in saline solution of various concentrations. The powered electrode serves either as the anode or the cathode, depending upon the operating condition chosen. Diagnostic tools used in this work include a voltage probe and a current probe to monitor the voltage and the current waveforms at the electrode, respectively; an optical emission spectrometer was used to monitor the time-averaged emission spectra. It is shown that the microplasma in 1 M saline solution can be ignited without difficulty for the voltages above 300 V. The forming and sustaining of the microplasma have been found to be a complicated phenomenon associated with the bubble formation and the plasma ignition in the bubbles. As the powered electrode is positively powered (i.e. the anode), two discharge modes, high and low current modes, have been identified. In the low current mode, the microplasma appears to be more stable, and the bubble stays and attaches at the tip of the powered electrode steadily for many seconds, while in the high current mode, the bubbles continuously forms and detaches from the electrode. The major optical emission lines in the high current mode are Na (589 nm) and H (656 nm) emissions. In this presentation, the potential use of this microplasma for materials processing will be discussed.
PS-TuP-13 Synthesis of Niobium Oxide Nanowires Using an Atmospheric Pressure Plasma Jet
Yun Lin, Chengche(Jerry) Hsu (National Taiwan University, Taiwan)
The fabrication of niobium oxide nanowires using an atmospheric pressure plasma was performed. An arc plasma jet sustained by a pulsed power supply with the repetitive power frequency of 25 kHz was used. The O2 plasma jet was used to treat the 0.025 mm-thick unannealed niobium foils to fabricate niobium oxide nanowires. It was found in this work that the distance between the foil and the jet appears to be the most critical operating parameter for nanowire fabrication. Niobium oxide nanowires with different morphologies were fabricated with oxygen plasma jets at an applied voltage of 300 V and a flow rate of 45 slm, with the foil located at 0.3 ~ 0.7 cm downstream of the plasma jet. Depending upon the distance between the foil and the jet, as well as the operating conditions, the nanowire diameter ranges from 100 to 500 nm, with the length being up to 6 μm. Current work shows that the nanowire diameter increases over time under plasma treatment. Energy-dispersive spectroscopy reveals that the stoichiometry of the fabricated nanowires have the composition ranges from NbO to NbO3, depending upon the conditions. In this presentation, the correlation between the plasma characteristics and the nanowire structure and its composition will be discussed.
PS-TuP-14 Study of an Atmospheric Pressure, Pulsed Arc Plasma Jet: Downstream Characterization and its Application to Thin Film Deposition
Yaowen Hsu, YaoJhen Yang, Chengche(Jerry) Hsu (National Taiwan University, Taiwan)
An arc plasma jet operated under atmospheric pressure was studied. This plasma jet is able to generate a stable plasma using a DC pulsed power source of 20 kHz ~ 40 kHz using nitrogen, oxygen, and clean dry air. In this presentation, diagnostic studies of the plasma jet downstream and the use of this jet for metal oxide fabrication will be presented. The plasma jet downstream was characterized using multiple diagnostic tools. The electrochemical sensor was used to quantify the downstream NO/NO2 concentration. Multiple thermocouples were used to directly measure the jet temperature and the optical emission of the jet was monitored by an optical emission spectrometer. Spatial-resolved measurements were performed using the above-mentioned diagnostic tools. The downstream species measurements show that the NO density increased from 5 to 116 ppm and the NO2 decreased from 93 to 52 ppm at 5 cm downstream of the nitrogen plasma jet when the applied voltage increased from 150 V to 350 V under a constant gas flow rate of 45 slm. Jet downstream temperature measurements show that at 1.5 cm downstream of the jet the temperature is approximately 100 °C with 250 V applied voltage and 45 slm. This plasma jet was used for ZnO thin-film deposition. Different precursor injection systems, including a bubbler and an ultrasonic atomizer, were tested. It is shown that the ZnO thin film can be deposited on the glass substrate as confirmed by X-ray photoelectron spectroscopy. The deposition rate and the film quality can be optimized by varying the operating parameters. The correlation between the plasma characteristics and deposited thin film properties will be discussed.
PS-TuP-15 Amorphous Silicon Etching Using Atmospheric-Pressure Dielectric Barrier Discharge (APDBD) Plasma
Ho-Cheol Kwon, Gon-Ho Kim (Seoul National University, Korea); Seung-Hoon Lee (Korea Institute of Materials Science, Korea); Tae-Hyup Noh (National Fusion Research Institute, Korea); ShinIl Choi, Sang-Gab Kim, SunKyu Lim (Samsung Electronics Co. Ltd, Korea)
Since the process area has been enlarged for increasing the fabrication rate of TFT-LCD, the atmospheric process has been attractively considered. Among the atmospheric processes, the etching is the most challengeable due to the difficulty of etch pattern control and charge damage. Here the etch properties of atmospheric-pressure dielectric barrier discharge (APDBD) has been investigated for the system size of 300 mm × 80 mm. The etching process has been accomplished at 2~3 mm below a blower type APDBD which is a closed system consisted of the top and bottom dielectric electrodes to generate the DBD plasma. Thus, the introduced etchants (SF6) with carrier gas (N2) are discharged in the DBD source chamber and the radicals are extruded through the hole of DBD source into the target of amorphous silicon (a-Si) on the patterned silicon nitride (SiNx) with photoresist (PR). The target is set on the moving stage with maximum speed of 100 mm/s. Thus the charge damage may not be considered in this etching system. The etch profiles were exampled with AFM, SEM and others. Result shows the availability of a few micron patterns and etch rate of 600 Å/min with the uniformity below 10% over the substrate. The etch rate and uniformity are sensitive to gas flow rate, the gas mixing ratio, the substrate temperature and the substrate motion. The electrical and optical methods were employed to monitor the discharge properties as the plasma density and gas temperature in source chamber, respectively. Tentatively, it concluded that the ATM process has the property in between the wet and dry (vacuum) process due to its highly collisional condition. The radical generation and transport in the system play a key role in improve the etching, which will be presented.
PS-TuP-16 Poly(ethylene glycol) Films Deposited by Atmospheric Pressure Plasma Liquid Deposition and Atmospheric Pressure Plasma-Enhanced Chemical Vapour Deposition : Synthesis of Non-Fouling Surfaces
Bernard Nisol (Université Libre de Bruxelles, Belgium); Claude Poleunis, Patrick Bertrand (Université Catholique de Louvain, Belgium); François Reniers (Université Libre de Bruxelles, Belgium)

The role of protein-repelling coatings is to limit the interaction between a device and its physiological environment, by inhibiting the non-specific protein attachment. Plasma-polymerized-PEG (pp-PEG) surfaces are of great interest since they are known to avoid protein adsorption [1]. In this study, pp-PEG films have been deposited on gold and polyvinylfluoride (PVF) surfaces, by means of atmospheric pressure plasma liquid deposition (APPLD) and atmospheric pressure plasma enhanced chemical vapour deposition (APPECVD) processes. A comparison between those two methods has been made by investigating the chemical composition of the films using infrared reflection absorption spectroscopy (IRRAS), X-ray photoelectron spectroscopy (XPS) and secondary ions mass spectroscopy (SIMS). By observing the C1s high resolution XPS spectra of our samples, it appears that for APPECVD samples, the hydrocarbon component (285 eV) is increasing as the power of the plasma is increased, revealing a higher fragmentation of the precursor (tetra(ethylene glycol)dimethylether), while for APPLD samples no changes occur. The same conclusion could be made by observing the typical ToF-SIMS peaks (m/z = 45 (CH3-O-CH2+ and +CH2CH2-OH), 59 (CH3-O-CH2-CH2+), 103 (CH3-(O-CH2-CH2)2+)) that are decreasing in the case of high powered APPECVD treatments. The non-fouling properties of our samples have been studied with Bovine Serum Albumin (BSA) adsorption. On that purpose, XPS was used to track the presence of BSA on the surface by using the N1s signal coming out from the protein. For the APPECVD samples, a low plasma power (30 W) leads to an important reduction of BSA adsorption (over 90% reduction). However, higher-powered treatments tend to reduce the non-fouling ability of the surfaces (around 50% of protein adsorption reduction for a 80 W deposition). The same order of magnitude of BSA adsorption reduction (over 90%) is obtained for the APPLD surfaces, whatever is the power of the treatment. Those results show an important difference between APPECVD and APPLD processes in terms of power of the plasma treatment.

[1] B.D. Ratner in : R. D’Agostino et al. (eds.), Plasma Processing of Polymers, Kluwer Academics Publishers, 1997, pp. 453-464

PS-TuP-17 High Refractive Index Polymeric Optical Coatings by Plasma Polymerization
Lachlan Hyde, Hans Griesser (Ian Wark Research Institute, Australia)

The technique of plasma polymerization has attracted much interest for its ability to deposit uniform polymeric coatings whose thickness can be controlled with nanometer precision via the plasma duration. Thus, plasma polymer (PP) films are well suited to application in optical precision instruments and other devices where high quality optical films are required. However, the range of refractive indices (RI) reported for plasma polymers is quite narrow. One objective of our research is to study how higher RI values can be achieved. Another objective is to develop PP coatings whose RI varies gradually, from a value matching the substrate to a higher value. Gradient Refractive Index (GRIN) films have been produced by plasma polymerization, with the RI changing linearly with the film composition [1]. Here we report on the plasma polymerization of bromoethane and other brominated monomers to create a database of RI versus PP composition prior to using such data for producing GRIN PP films. Factors affecting the PP film composition and thus the RI are plasma deposition power, pressure, deposition rate, and the monomers themselves. Characterization of compositionally homogeneous PP films is performed by optical techniques such as ellipsometry and the data obtained can be extrapolated to provide information about graded polymer films. This is also the case when analyzing homogenous polymer films with techniques such as x-ray photoelectron spectroscopy and Time of Flight Secondary Ion Mass Spectrometry (TOF-SIMS). However, several complementary techniques must be employed when analyzing GRIN films. Techniques such as Small Angle X-ray Scattering (SAXS) and X-ray Reflectometry (XRR) have been utilized because the power of x-rays allows the RI in any medium to be assumed to be unity, as opposed to other optical techniques that essentially deal with optical interfaces and assume samples to be optically homogenous. SAXS is particularly useful as it is capable of measuring polymer blends. Similarly, neutron techniques such as neutron reflectometry (NR) and Small Angle Neutron Scattering (SANS) are complementary to x-ray techniques, as shown by previous work where PP films were analyzed using both XRR and NR [2]. Studies are currently underway to examine the composition of homogenous PP films. One interesting method for depth profiling thin polymer films is TOF-SIMS analysis with a C60 gun, which has been used to characterize discrete multilayer structures [3].

[1]Jiang H; et al. Chem. Mater. 2004, 16, 1292

[2]Nelson A; et al. Langmuir 2006, 22, 453

[3]Zheng LL; et al. Anal. Chem. 2008, 80, 7363

PS-TuP-18 HfSiON Growth from Hf Metal/SiO2/Si(100) Stack with Nitrogen VHF-ICP Exposure
Ryosuke Kage, Takeshi Kitajima, Toshiki Nakano (National Defense Academy, Japan); Toshiaki Makabe (Keio University, Japan)
In the paper, we show the new fablication scheme of high-k dielectric thin film for MOSFETs with fewer process steps, lower impurity, and minimised EOT (equivalent oxide thickness). In the process, high density hafnium metal nano particle with 4 nm diameter is formed on SiO2/Si surface and subsequent nitrogen plasma exposure (~10 min.) leads to the growth of HfSiON high-k dielectric film with SiN interfacial layer.

Current ULSI technology requires the use of hafnium related high-k dielectrics with ~3 nm thick for MOSFET to lower

the power consumption. HfSiON is the most applicable chemistry for the high-k material with proper energy band

alignment, large area uniformity, and thermal stability. The direct formation of HfSiO film from the Hf overlayer and underlying SiO2 utilizing the thermal interfacial reaction was previously proposed [1]. The process demonstrates remarkably low inpurity in the film due to the lack of carbon in contrast to the case of MOCVD processes. In our case, 2.5 nm thick Hf metal layer is deposited with e-beam deposition source on SiO2/Si(100) surface uniformly. The

morphology obtained with the in-situ non-contact AFM measurement revealed the surface consists of the high density array of Hf nano particles with the size of 4 nm in diameter.

The exposure of atomic nitrogen and ions from the non-equiliblium plasma enables the introduction of N into the film and increases the interfacial reaction rate of Hf and SiO. Within the first 1 min., the Hf nano particles are

oxynitrided with the N atoms from the plasma and the O atoms supplied from the lower interface judging from the XPS

analysis. The following plasma exposure (~10min.) enables the diffusion of Si atoms into the higk-k film from the

underlying SiO layer. The Si content in the film increases with the exposure time and becomes comparable to the Hf content with 35 min. exposure. The XPS spectrum shows the Si incorporated is mostly nitrided in the film. The spectrum also indicates the interfacial SiO layer is nitrided and this leads to the minimized EOT of the high-k stack structure.

[1] H. Watanabe, Appl. Phys. Lett. 85, 449 (2004).

PS-TuP-19 Plasma Characterization of an Unbalanced Magnetron Sputter Deposition System
Kristin Pollock, Jonas Hiltrop, James Doyle (Macalester College)

We present a study of the near substrate plasma properties in an unbalanced magnetron deposition system used to deposit hydrogenated amorphous germanium thin films. The system is equipped with external Helmholtz coils that allow control over the near substrate plasma density. Four plasma diagnostic methods are used to characterize the plasma; a cylindrical Langmuir probe, a flat probe with a guard ring, a retarding field analyzer, and optical emission spectroscopy. The complementary nature of the diagnostics results in a robust determination of the plasma density, electron temperature, and plasma potential. The plasma density inferred from the cylindrical and flat probe results are corroborated by the relative ion currents to the retarding field analyzer. The latter also allows determination of the plasma potential, which agrees well with that inferred from the cylindrical probe results. The electron temperature inferred from the cylindrical probe is approximately corroborated by the relative intensity of the argon optical emission lines, but there is also some evidence that the electron energy distributions have a non-Maxwellian part. In our system the near substrate plasma density can be varied by about a factor of 25. Higher plasma densities near the substrate result in a lower electron temperature and a slight negative shift in the plasma potential. Hydrogen-argon mixtures results in large increases in both plasma density and electron temperature compared to argon-only plasmas. Possible reasons for this phenomena are discussed.

PS-TuP-20 Deposition Profile of Carbon Films in Submicron Wide Trenches using H-assisted Plasma CVD
Takuya Nomura, Jun Umetsu, Yuki Korenaga, Hidefumi Matsuzaki (Kyushu University, Japan); Kazunori Koga, Masaharu Shiratani (Kyushu University, and JST, CREST, Japan); Yuichi Setsuhara (Osaka University, and JST, CREST, Japan); Makoto Sekine, Masaru Hori (Nagoya University, and JST, CREST, Japan)
In recent years hard carbon films have attracted much attention due to their high hardness and wear resistance.1 Deposition profile of hard carbon films in trenches is one of the concerns. We have succeeded in controlling deposition profile of Cu in trenches of 100 nm in width, and have realized sub-conformal, conformal and anisotropic deposition profiles using H-assisted plasma CVD.2-4 Here we report these three deposition profiles of carbon films obtained using the H-assisted plasma CVD. Experiments were performed using the H-assisted plasma CVD reactor, in which a capacitively-coupled 28 MHz main discharge and an inductive-coupled 13.56 MHz discharge for an H atom source were sustained.2-4 This reactor provided independent control of dissociation of deposition material and generation of H atoms. Toluene diluted with H2 and Ar was supplied at flow rates of 80sccm and 10sccm, respectively. The total pressure was 13 Pa. First, we have studied dependence of deposition rates at the bottom and sidewall of trenches on discharge power of the H atom source. The deposition rates tend to decrease with increasing the power, probably because the flux of H atoms, which etch carbon films, on the surfaces increases. Next, we have studied dependence of the deposition rates on kinetic energy of ions impinging on the surfcases. The deposition rate at the bottom increases significantly with increasing the kinetic energy of ions, while that at the sidewall does not. Irradiation of high energy ions modifies carbon films into a hard structure and the etching rate of such hard carbon films is considerably reduced.1,5 Another important parameter for deposition profile control is identified to be the substrate temperature. By tuning the H atom flux, ion energy, and substrate temperature, we have realized sub-conformal, conformal and anisotropic deposition profiles of carbon films. Film qualities such as atomic compositions, structure will be presented at the conference.

1 J. Robertson, Materials Sci. and Engineering R, 37 129-281 (2004).

2 K. Takenaka, M. Shiratani, M. Takeshita, M. Kita, K. Koga, and Y. Watanabe, Pure Appl. Chem., 77, 391 (2005).

3 K. Takenaka, M. Kita, T. Kinoshita, K. Koga, M. Shiratani, and Y. Watanabe, J. Vac. Sci. Technol., A22, 1903 (2004).

4 J. Umetsu, K. Koga, K. Inoue, H. Matzuzaki, K. Takenaka, M. Shiratani, Surf. Coat. Technol., 202, 5659 (2008).

5 A. von Keudell, W. Jacob, J. Appl. Phys. , 79 1092 (1996).

PS-TuP-21 Carbon Particle Formation Due to Interaction between Graphite and Helicon Plasmas
Masaharu Shiratani, Shinya Iwashita, Hiroshi Miyata, Kazunori Koga (Kyushu University, Japan)

Formation of dust particles due to plasma-surface interaction has attracted a great deal of attention in many fields because dust particles can cause quality deterioration in semiconductor manufacturing [1, 2] and can contain a large amount of tritium in fusion devices [3], and so on. Therefore, it is important to reveal their formation mechanisms, their transport as well as their accumulation area. Up to now, we have collected carbon dust particles formed due to interaction between graphite target and helicon plasmas using in-situ and ex-situ collection methods [4], and have analyzed them. Here we report experimental results regarding carbon particle formation due to interaction between graphite and helicon plasmas and discuss their formation mechanisms.

Experiments were carried out with a helicon plasma reactor. Hydrogen or deuterium plasmas were generated by applying pulsed rf voltage of 13.56 MHz to a helicon antenna. The ion density and electron temperature obtained in the helicon discharge reactor are 4x1010-3x1012 cm-3 and 4.5-11.8 eV, respectively. Dust particles collected in the helicon plasma reactor can be classified into small spherical particles, agglomerates whose primary particles are around 10 nm in size and large irregular particles. There are many small dust particles of 1 nm-1 μm in size. The typical density ratio among them is 2x103 : 1: 3. The smaller their size is, the higher their number density is. The size regions of these dust particles are 1-500 nm for small spherical particles, 50-700 nm for agglomerates and 50 nm-6 μm for large irregular particles, respectively. The three kinds of dust particles suggest three formation mechanisms: CVD growth, agglomeration, and peeling from walls. The dust particles of 10 nm in size have the highest probability to be charged positively, whereas those above 30 nm in size are charged negatively [5]. Agglomeration between a negative large agglomerate and a positive small dust particle takes place during the discharging period.

[1] M. Shiratani, M. Kai, K. Koga, and Y. Watanabe, Thin Slid Films, 427, 1 (2003).

[2] N. Hershkowitz, IEEE TRANSACTIONS ON PLASMA SCIENCE, 26, 1610 (1998).

[3] J. Winter, Plasma Physics and Controlled Fusion, 40, 1201 (1998).

[4] K. Koga, S. Iwashita, S. Kiridoshi, M. Shiratani, N. Ashikawa, K. Nishimura, A. Sagara, A. Komori, LHD Experimental Group, Plasma and Fusion Research, in press.

[5] Y. Watanabe, M. Shiratani, H. Kawasaki, S. Singh, T. Fukuzawa, Y. Ueda, and H. Ohkura, Journal of Vacuum Science & Technology, A14, 540 (1996).

Time Period TuP Sessions | Topic PS Sessions | Time Periods | Topics | AVS2009 Schedule