AVS2009 Session PS-TuA: Fundamentals of Plasma-Surface Interactions I

Tuesday, November 10, 2009 2:00 PM in Room A1

Tuesday Afternoon

Time Period TuA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2009 Schedule

Start Invited? Item
2:00 PM PS-TuA-1 Correlation between Surface Chemistry and Ion Energy Dependence of the Etch Yield in Multicomponent Oxides Etching
Pierre-Marc Bérubé, Jean-Sébastien Poirier, Joëlle Margot, Luc Stafford (Université de Montréal, Canada); Paul-François Ndione, Mohamed Chaker, Roberto Morandotti (INRS-EMT, Canada)
Progress in the development of advanced electronic and photonic devices strongly rely on the capability of etching multicomponent oxides such as CaxBa(1-x)Nb2O6 (CBN), (Ba,Sr)TiO3 (BST), and SrTiO3 (STO) that exhibit ferroelectric and electro-optic properties of interest for these applications. An important issue in the development of plasma etching recipes for multicomponent oxides is that in contrast with simple, binary oxides such as SiO2, ZrO2 and HfO2 the various atoms contained in the films are likely to interact differently with the various reactive species of the plasma. This makes investigations of the underlying physics and chemistry a very difficult task. In the present work, we propose a simple and effective way to examine the influence of surface chemistry on the plasma etching dynamics of multicomponent oxides. This method uses the energy dependence of the etch rate in combination with measurements of the total positive ion flux impinging onto the surface and relative positive ion composition of the plasma. Using pulsed-laser-deposited CBN and STO thin films as examples, it was found that the etching energy threshold, Eth, shifts towards values larger or smaller than the sputtering threshold depending on whether or not ion-assisted chemical etching is the dominant etching pathway. More specifically, displacement of Eth towards values larger than the sputtering threshold indicates an inhibiting surface chemistry while displacement towards lower energy is associated to an enhancing chemistry. For CBN films etched in an inductively coupled chlorine plasma, we measured Eth ~65 eV at 1 mTorr, ~240 eV at 10 mTorr, and ~400 eV at 15 mTorr. The threshold obtained in pure Ar plasma was similar to that achieved in Cl2 at 1 mTorr, which suggests that CBN etching at low chlorine number densities is dominated by pure physical sputtering. This is consistent with TOF-SIMS measurements that showed comparable Ca, Ba, and Nb depth profiles for the samples etched in pure Ar and in 1 mTorr, Cl2 plasmas. At 10 mTorr, the chlorine uptake was an order of magnitude higher than at 1 mTorr. In addition, we observed an important concentration of BaClx and NbClx, with a considerable amount of non-volatile BaCl2and NbCl2 closer to the topmost surface. Therefore, the higher etching threshold observed at 10 and 15 mTorr results from the formation of reaction products that are more difficult to etch than the bare CBN surface. A similar inhibiting chemistry was observed for STO films etched in a fluorinated plasma. Eth increased from ~50 eV in pure Ar to ~90 eV in a 30%SF6-70%Ar plasma, with the desorption of SrFx compounds being the rate-limiting step.
2:20 PM PS-TuA-2 Influence of Ion Energy and Ion Flux on Polystyrene Modification by Electron Beam Generated Plasma
Evgeniya Lock, Scott Walton, Richard Fernsler, Mira Baraket (Naval Research Laboratory)

Electron beam generated plasmas constitute a unique class of plasmas due to their intrinsic low electron temperatures (< 1 eV), plasma potentials and thus ion kinetic energies. For the treatment of polymers, these plasmas have demonstrated the ability to change the surface energy and chemistry with limited change in surface topography and low etch rates. Successful increase in surface energy was achieved as a result of argon, oxygen and nitrogen treatments due to incorporation of oxygen and nitrogen functionalities. Treatment with SF6 resulted in decrease of surface energy due to incorporation of fluorine groups. The surfaces were unchanged or even made smoother after argon and nitrogen treatments. Plasma generation in more aggressive media including oxygen and SF6 resulted in surface roughness increase.

The dominant species driving the chemical modification process in electron beam generated plasmas differ from the ones observed in the conventional plasma sources because the high energy electron beam ionizes the gas much more efficiently and thus produces a significantly larger proportion of ions. Thus, the influence of metastables and photons is limited.

This study addresses the question of the influence of the increased kinetic energy and ion fluxes on the polymer surface modification. Ultra thin polystyrene film was chosen as a model substrate due to its well understood behavior. The changes in surface energy, chemistry, etch rates and glass transition temperatures of the polymer were investigated. The chosen gas environments are pure argon and argon/oxygen mixtures. Argon allows for studying the effects of surface activation and physical sputtering. In argon/oxygen mixtures the influence of reactive species is critical for the surface modification processes.This work was supported by the Office of Naval Research. E. H. Lock and M. Baraket appreciate the support of the National Research Council.

2:40 PM PS-TuA-3 Energy Considerations in Plasma-Surface Interactions
Matthew Goeckner, C.T. Nelson, S.P Sant, E.A. Joseph, B.S. Zhou, Lawrence Overzet (University of Texas at Dallas)
Plasma processes have been used for close to four decades in the semiconductor industry and even longer in other fields. Because such process systems are complex, many individuals subdivided the complete system into three main subsystems, gas-phase chemistry, plasma physics and surface chemistry/physics. Using this methodology, considerable knowledge has been gained in fundamental processes found in the gas-phase chemistry and plasma physics. Despite numerous high quality studies, understanding the surface subsystem has proven to be challenging. In part this is due to the interactions of the three subsystems. In this paper we will examine a model of plasma-surface interactions which is based on surface-averaged quantum mechanical processes. Using the model we arrive at a general model describing both etch and deposition. We will show how energy considerations, such a local surface temperature, play major roles in such processes. We will examine in some detail the link between the model and experimental data obtained from fluorocarbon plasmas. This work is supported by a generous gift from Applied Materials.
3:20 PM BREAK - Free Refreshments in Exhibit Hall 1
4:00 PM PS-TuA-7 Effect of Energetic Ions on Plasma Damage of SiCOH Low-k Material
Eddy Kunnen, Adam Urbanowicz, Denis Shamiryan, Hugo Bender, Alexis Franquet, Herbert Struyf, Werner Boullart, Mikhail Baklanov (IMEC, Belgium)

In the semiconductor industry, the number of transistors per unit area has steadily increased over the past 40 years according to Moore ’s Law. As a consequence, the distance between interconnecting copper lines has reached the dimensions where capacitive coupling between the lines becomes important. To reduce capacitive coupling, low-capacitive materials, so-called low-k materials, have been investigated and integrated. A lower capacitive value can be achieved by making hydrophobic porous materials from low polarizable molecules. However, during integration these materials are exposed to etch and strip plasmas, which results in a plasma damaged material with an increased k-value. In this study we want to shed light on the mechanism of how bombarding ions and chemically active radicals damage low-k materials.

As low-k, a SiOCH- based material was deposited on 300mm Si wafers, and porogen material was removed by UV curing, resulting in a 180 nm- thick layer with a porosity of 33%. Since the focus is on revealing the mechanisms and not limiting the damage, we use a pure oxygen plasma of which the damaging capabilities are well known. A transformer coupled plasma reactor, Lam Versys (r) 2300 (r), is used for exposure. This etch chamber allows a separated control of the power from the coil (top power or ‘TP’ ) on the one hand, and the power fed into the plasma through the wafer (bottom power or ‘BP’ ). While TP results in dissociation of molecules, BP determines the bias voltage over which ions are accelerated to the wafer. Three conditions have been investigated: Bottom Power Only (BPO), Top Power Only (TPO) and Top Power and Bottom Power (T&BP), flow and pressure were kept constant. The photoresist etch rate for the different conditions was measured and the low-k wafers were exposed to the different plasma conditions using a normalized exposure time. The exposed layers were analyzed by Fourier Transformed Infrared Spectroscopy (FTIR), Water Contact Angle (WCA), Spectroscopic Ellipsometry (SE), Time Of Flight Secondary Ion Spectroscopy (TOFSIMS), Energy Filtered Transmission Electron Microscopy (EFTEM), Water and Toluene Based SE and mass measurements.

It was shown that the bombarding ions densify and seal the top layer, which makes it more difficult for the oxygen radicals to penetrate into the low-k and damage it. As a function of time, all applied conditions obey a logarithmic oxidation equation. A model that explains the equation is proposed: the oxygen radicals recombine to oxygen molecules in the damaged layer through surface reactions leading to an exponential decrease in radical concentration with depth resulting in the logarithmic time dependence.

4:20 PM PS-TuA-8 193 nm Photoresist Roughening in Plasmas: VUV Photons and Synergistic Mechanisms
Monica Titus, Dustin Nest, David Graves (University of California, Berkeley)
Plasmas can either roughen or smooth 193 nm photoresist (PR), but little is known about mechanisms or controlling variables. We report measurements of 193 nm PR roughness as a function of ion energy, substrate temperature, VUV fluence, and photon-to-ion flux ratio, in a well-diagnosed inductively coupled Ar plasma. Comparisons are made to analogous vacuum beam experiments. We seek to answer the question: what “knobs” control surface texture? We focus special attention on the role of VUV photons and their synergistic interactions with other plasma effects. Fourier transform infrared (FTIR) transmission measurements as a function of VUV photon fluence demonstrate that VUV-induced bond breaking occurs over a period of time. We present a model based on the idea that VUV photons initially deplete near-surface O-containing bonds, leading to deeper, subsequent penetration and more bond losses, until the remaining near-surface C - C bonds are able to absorb the incident radiation. Fitted model photo-absorption cross sections compare well with literature values. The model is tested and shown to be valid by comparing to measurements of VUV lamp exposures in a vacuum beam system and in the Ar ICP.
4:40 PM PS-TuA-9 Electron, Ion and Vacuum Ultraviolet Photon Beam Effects in 193 nm Photoresist Roughening
Ting-Ying Chung, Dustin Nest, Gopal Choudhary, Joseph Végh, David Graves (University of California, Berkeley); Florian Weilnboeck, Gottlieb Oehrlein (University of Maryland, College Park); Eric A. Hudson (Lam Research Corp.); Mingqi Li, Deyan Wang (Dow Electronic Materials)

Previous vacuum beam studies showed that PMMA-based 193 nm photoresist (PR) will roughen due to the synergistic effects of ion bombardment, vacuum ultraviolet (VUV) photon flux and substrate heating [1]. FTIR measurements show that VUV photons break C-O bonds to a depth of about 100 nm in this PR. Using the same vacuum beam apparatus, we show that electron beam exposure (energies ~ 103 eV) of this PR results in similar C-O bond breaking. However, the effect of e-beams on PR roughening is very different from that of VUV photons. Electron beams can either promote or inhibit roughening with simultaneous ion and VUV photon exposure, depending on electron fluence. At high electron fluence, simultaneous e-beam/VUV/ion exposure appears to suppress VUV/ion-induced roughening. By contrast, lower fluence e-beams amplify the synergistic effects of ions and VUV photons, increasing roughness. It is known from electron beam resist studies that low fluence e-beams scission PMMA, but higher electron fluences induce cross-linking [2]. We tentatively conclude that any effect that amplifies PR scissioning during ion bombardment increases roughening, whereas any effect that induces cross-linking will suppress roughening. Finally, we discuss the nature of the dynamic changes occurring within the PR during separate and simultaneous exposures of ions, VUV photons and electrons.

[1] Nest, D., et al., Synergistic effects of vacuum ultraviolet radiation, ion bombardment, and heating in 193 nm photoresist roughening and degradation. Applied Physics Letters, 2008, 92(15).

[2] Hatzakis, M., Electron resists for microcircuit and mask production. Journal of the Electrochemical Society, 1969. 116(7): p. 1033.

5:00 PM PS-TuA-10 Ion and VUV Radiation Induced Material Modifications of Advanced Photoresists During Plasma-Etching: Temporal Evolutions of Modified Surface Layers
Florian Weilnboeck, Robert Bruce, Gottlieb Oehrlein (University of Maryland); Mingqi Li, Deyan Wang (Dow Electronic Materials); David Graves, Dustin Nest, Ting-Ying Chung (University of California, Berkeley); Eric A. Hudson (Lam Research Corp.)

Plasma processes used for pattern transfer of nanometer structures can lead to severe material modification and roughness development of photoresist (PR) materials. We studied the temporal evolution of blanket and patterned films of fully formulated 193nm PR in Ar and Ar/C4F8 plasma discharges. The contribution of the optical radiation component to the overall material modification seen after direct plasma exposure was investigated by applying a filter approach which protects the PR against ion bombardment and neutral deposition. Different filter materials allow testing the influence of emission spectra and wavelength ranges of the plasma radiation from visible to vacuum ultraviolet (VUV) light. Material modifications were characterized by ellipsometry, x-ray photoelectron spectroscopy, Fourier transform infrared spectroscopy, atomic force microscopy and scanning electron microscopy. In-situ ellipsometry, employing a setup which enables separation of the optical radiation component and other plasma components, enabled to investigate in real time PR degradation effects during plasma processing. The depth dependence of PR degradation was obtained by optical multilayer ellipsometric simulations of the data.

For the plasma discharge chemistries examined, the material modifications depended strongly on PR molecular structure, exposure radiation wavelength range and plasma chemistry. Material degradation was increased for increasing photon energy, and lead to substantial oxygen loss at the PR surface and in the PR bulk. The material modification depth showed a significant dependence on the emission spectrum of the plasma discharge. The amount of oxygen lost in the material bulk was found to directly correlate with a reduction in PR film thickness. Results indicate that compared to the pure Ar discharge (main emission at 104 and 106nm) material bulk modifications are significantly higher for Ar/C4F8 discharges (main emission above 130nm [1]) leading to PR film thickness reduction of up to 20nm. Whereas ion bombardment modified the PR to a depth of ~ 1nm for our conditions during the first few seconds of plasma exposure and then saturated, plasma radiation modified the PR near-surface region to a depth of several tens of nm over a period of tens of seconds.

[1] Woodworth, J.R., et al., Absolute intensities of the vacuum ultraviolet spectra in oxide etch

plasma processing discharges, JVST A, 2001, 19(1)

5:20 PM PS-TuA-11 Mechanism for Generation of Molecular Level Line-Edge Roughness of ArF Photoresist during Plasma Etching Processes
Koji Koyama, Butsurin Jinnai (Tohoku University, Japan); Shinichi Maeda, Keisuke Kato, Atsushi Yasuda, Hikaru Momose (Mitsubishi Rayon Co., Ltd., Japan); Seiji Samukawa (Tohoku University, Japan)

ArF photoresists, namely chemically amplified photoresists, have been used in recent 193-nm lithography processes. However, ArF photoresists have serious problems during plasma etching processes, such as line-edge roughness (LER). LER can be classified with pattern-size roughness, called “low-frequency LER,” and molecular-level-size roughness, called “high-frequency LER”. Especially, high-frequency LER is more serious problem for wiring in ULSI devices of less than 32 nm. In order to overcome these issues, it is essential to understand the relationship between irradiation species from plasma (ions, electrons, radicals, and ultraviolet/vacuum-ultraviolet (UV/VUV) photons) and molecular level reactions on the ArF photoresist surface.

In this study, we investigated the effects of UV/VUV radiation, ion bombardment and gas species on ArF photoresists by using our developed neutral-beam process. Samples were etched by Ar- or Cl2-neutral beam and Ar- or Cl-ion and UV/VUV photon. The surface roughness of the films was measured by SPM. A comparison of neutral beam irradiation with ion and UV/VUV photon irradiation showed that surface roughness of ArF photoresist increased after ion and UV/VUV-photon irradiation for both gasses. Especially, in the case of chlorine gas, significant surface roughness is observed by adding UV/VUV photon. To investigate the effects of irradiation species on the ArF photoresist polymer structure, we analyzed the chemical bonding states in ArF photoresist polymers by using FTIR. FTIR measurement showed that the lactone and ester units in the base polymers were vulnerable to physical bombardment, chemical reactions, and UV/VUV photon irradiation. The vulnerability results in changes in base-polymer structure that could account for the differences in the etching rates and surface roughness of ArF photoresist films. Our results demonstrated that UV/VUV photon irradiation plays an important role for generation of molecular level line-edge roughness.

5:40 PM PS-TuA-12 On the Absence of Post-Plasma Etch Surface and Line Edge Roughness in Vinylpyridine Resists
Robert Bruce, Florian Weilnboeck, Tsung-Cheng Lin, Raymond Phaneuf, Gottlieb Oehrlein (University of Maryland); William Bell, Grant Willson (UT-Austin); Dustin Nest, Gopal Choudhary, Joseph Végh, David Graves (UC-Berkeley); Azar Alizadeh (GE Global Research)

Reducing formation of line edge roughness during photoresist mask pattern transfer by plasma etching is becoming increasingly important as the critical dimensions of devices continue to shrink. We have found that using a nitrogen-containing polymer, poly(4-vinylpyridine) (P4VP), as resist completely eliminated plasma-induced surface and line edge roughening for pattern transfer process conditions that produced significant roughness in a wide variety of other polymers in Ar-containing gas discharges of various gas chemistries and over long plasma exposure times. This effect was investigated by considering the influence of the plasma species (ions, neutrals, VUV) as well as the polymer structure and comparing results with polymers that were prone to surface roughening, such as polystyrene. Material modification was characterized by in situ ellipsometry, X-ray photoelectron spectroscopy, and atomic force microscopy. Plasma-induced modifications in the polymer film are shown to cause changes in the mechanical properties at the surface leading to the development of residual stresses that creates surface roughness after plasma etching. We also investigated how nitrogen in the polymer structure as well as the gas discharge influences the mechanical stresses at the surface. Patterned films were also plasma-exposed to the same conditions and characterized by scanning electron microscope. The absence of surface roughness in P4VP is shown to eliminate LER in 3D features. Finally, we provide a comprehensive model to show how the difference in the plasma surface modification in P4VP compared to polymers such as polystyrene leads to elimination of surface roughening.

Time Period TuA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2009 Schedule