AVS2008 Session PS1-WeA: Fundamentals of Plasma-Surface Interactions II

Wednesday, October 22, 2008 1:40 PM in Room 304

Wednesday Afternoon

Time Period WeA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2008 Schedule

Start Invited? Item
1:40 PM PS1-WeA-1 Adsorption and Desorption Dynamics of Atomic and Molecular Chlorine on Plasma-Conditioned Stainless Steel Surfaces
L. Stafford (Université de Montréal, Canada); R. Khare, J. Guha, V.M. Donnelly (University of Houston); J.S. Poirier, J. Margot (Université de Montréal, Canada)
We investigated the interactions of atomic and molecular chlorine with plasma-conditioned stainless steel surfaces through both experiments and modeling. The adsorption and desorption dynamics of Cl and Cl2 was characterized using a rotating substrate technique in which portion of the substrate surface is periodically exposed to an inductively coupled chlorine plasma and to an Auger electron spectrometer in separate, differentially-pumped chambers. After several hours of exposure to the Cl2 plasma, the stainless steel substrate became coated with a Si-oxychloride-based layer (Fe:Si:O:Cl = 1:7:15:6) due to chlorine adsorption and the slow erosion of the silica discharge tube. Analysis of products desorbing from this surface through measurements of pressure rises in the Auger chamber as a function of substrate rotation frequency showed significant adsorption and desorption of Cl2 with the plasma off, with sticking coefficients comparable to those obtained previously on plasma-conditioned anodized aluminum. Desorption rates were however much higher on stainless steel, probably because of its smoother surface morphology. When the plasma was turn on, a much larger pressure rise was observed due to delayed (i.e., Langmuir-Hinshelwood) recombination of Cl atoms. Recombination coefficients, γCl, ranged from 0.004 to 0.03 and increased with Cl-to-Cl2 number density ratio before reaching some plateau for Cl/Cl2 > 0.6. A similar behavior was previously observed on plasma-conditioned anodized aluminum. This set of gamma values was then applied to the modeling of high-density chlorine plasmas with large stainless steel or anodized aluminum surfaces exposed to the plasma. The model is based on fluid equations in which the particle balance equations for electrons, Cl, Cl2, Cl+, Cl2+, and Cl- are solved together with the corresponding flux equations and the energy balance equations. Using the gamma values determined in this study as a function of Cl/Cl2 number density ratio, model predictions of Cl and Cl2 densities in surface-wave and inductively coupled plasma reactors with both stainless steel and anodized aluminum walls will be compared with measured Cl and Cl2 densities.
2:00 PM PS1-WeA-2 Effect of Cu Contamination on Recombination of O Atoms on Plasma Conditioned Surfaces
J. Guha, R. Khare, V.M. Donnelly (University of Houston); L. Stafford (Universite de Montreal, Canada); S. Sirard, D. Wei, G. Delgadino, E.A. Hudson (Lam Research Corporation)
Advanced dual-damascene integration schemes require patterns to be transferred through organic masks and anti-reflection coatings as well as through inorganic dielectric materials. During plasma etching of dielectrics down to underlying Cu layers, sputtered Cu may coat the reactor walls, causing process drift. In a CCP etch reactor, it was found that the photoresist (PR) etch rate drops for an O2-based plasma process, after the plasma reactor has been exposed to Cu-containing wafers. Also the local PR etch rate is depressed opposite a Cu coupon attached to the upper electrode. The decrease in etching rate suggests that Cu could cause a decrease in the etchant concentration in the plasma, perhaps due to an increase in the heterogeneous atom recombination rate on the chamber walls. We have therefore studied the effects of traces of Cu on O recombination on an oxygen plasma-conditioned surface, using the spinning wall technique. With this method, a cylindrical spinning substrate (in this study, stainless steel coated with oxygen, as well as silicon from etching of the discharge tube) is rotated through differentially pumped chambers, allowing the surface to be periodically exposed to a 5mTorr, 600W O2 plasma, an Auger spectrometer, and a Cu PVD source. With no Cu on the surface, a pressure rise was observed in the Auger chamber, due to desorption of recombined O2. This pressure rise was converted into an absolute desorption flux through calibrations and was measured as a function of substrate rotation frequency. With separate measurements of absolute O-atom impingement fluxes, a Langmuir-Hinshelwood recombination coefficient of γO = 0.10 was derived for the steady-state, Cu-free surface, coated with a layer with an atomic composition of Fe:[Al+Si]:O ~ 1:2:9. This surface was then exposed to a Cu dose of ~1011 cm-2, depositing a small fraction of a monolayer (~5 x 1014cm-2), which is well below the detection limit by Auger analysis (~0.1 monolayers). This trace amount of Cu caused a 13% increase in γO The surface was further exposed to the Cu doses of ~2, 3, and 8 x 1011 cm-2. Each added dose causes γO to increase accordingly. At 8 x 1011cm-2 γO = 0.15. Much larger doses (~3 x 1013 cm-2) resulted in detectable Cu on the surface and a γO of ~0.3.
2:20 PM PS1-WeA-3 Impact on Wafer to Wafer Repetability of Cleanning/Coating Strategies in a 300mm ICP Plasma Reactor
L. Babaud (ST Microelectronics/CNRS-LTM France); P. Gouraud (ST Microelectronics France); O. Joubert, E. Pargon (CNRS-LTM France)
Nowadays in microelectronics, work focuses on the optimization of the 32 nm technological nodes and below. One of the key challenges to achieve the desired performance is to optimize well controlled and repeatable plasma etching processes leading to critical dimension control in the nm range. In gate etching processes one of the key parameter directly driving the process repeatability is the chamber reactor conditioning. Indeed, previous studies have shown that changes in the chamber wall conditioning are identified as one of the main origin of process drift leading to variations of key process parameters (etch rate, etch profiles, selectivity, and uniformity) inducing wafer to wafer variability. In this presentation we propose to investigate different chamber wall coating strategies such as SiOCl or Carbon rich coated films in 300 mm industrial ICP reactors. Correlation between morphological results and passivation layer formation on the silicon gate etch sidewalls using both type of coatings are performed using 300 mm in-situ XPS dedicated to chemical topography analyses. In addition, we will investigate the impact of the plasma chemistry on the process repeatability by investigating more specifically the influences of the SiCl4/O2 ratio used for chamber wall coating and of the SF6/CHF3 ratio used during poly gate patterning.
2:40 PM PS1-WeA-4 Synergistic Mechanisms of Plasma-Polymer Interactions
D.B. Graves (University of California at Berkeley)
Plasmas have been widely used to alter polymer surfaces and films, but relatively little is known about mechanisms. The interactions of low temperature, chemically reactive plasmas with polymers are generally more complex than interactions with inorganic materials. Polymers have macromolecular structure that is generally completely altered in the near-surface region by exposure to plasmas. Ions impacting the polymer surface with tens to thousands of eV coupled with fluxes of reactive radicals, electrons and photons create a vast range of potential alterations. These effects can be localized within nanometers of the surface with initial alterations that are completed within a picosecond, or can be extended in space (depth) and time over many orders of magnitude. In this talk, I will focus on recent results from both experimental and computational studies of plasma-polymer interactions in my laboratory. Molecular dynamics simulations of argon ions impacting simple polymer structures help interpret corresponding ion beam-polymer exposure experiments. Vacuum ultraviolet radiation from plasmas has recently been shown to play a key role under some conditions in roughening PMMA-based 193 nm photoresist in the presence of ion bombardment and heating. We have also studied the effects of radicals and electrons as well as the role of polymer temperature in polymer degradation, etch and roughening mechanisms.
4:00 PM PS1-WeA-8 Fluorination Mechanisms of Al2O3 and Y2O3 Surfaces by Irradiations of High Density CF4/O2 and SF6/O2 Plasmas
K. Miwa, N. Takada, K. Sasaki (Nagoya University, Japan)
Al2O3 is a typical wall material for plasma processing chambers. Interactions between the surface and fluorine-based plasmas would result in process drifts and/or particle generations.1,2,3 Recently, replacing Al2O3 by Y2O3 was tried in an etching tool.3 However, the interaction mechanisms have not been examined in detail. We studied the mechanisms and compared the robustness of Al2O3 and Y2O3 against the irradiation of high density fluorine-based plasmas excited by a helicon wave.4 In the experiment, an rf power at 13.56MHz (2kW, 10Hz pulse) was supplied to a helical antenna around a quartz tube of 1.6 cm inner diameter. A uniform magnetic field was applied, so that the plasma was confined radially. A sample piece (25 mm squared Al2O3 or Y2O3) was placed on the end plate of the experiment chamber. The total pressure of CF4 (or SF6) and O2 was 7 mTorr. The duration of the irradiation was 2 hours. The sample surfaces were analyzed by XPS. On the Al2O3 surface irradiated by the CF4/O2 plasma column, fluorinated (AlOxFy and/or AlFx) and metallic Al were detected at relative concentrations of 11 % and 1.6 %, respectively. In the outside area of the irradiation, concentrations of those were 5.7 % and 1.1 %. On the contrary, lower concentrations of those were observed by the SF6/O2 plasma. These results suggest that CFx (x=1-3) radicals react with Al-O with the ion bombardment in the plasma column. The fluorination would be induced by the reaction between carbon and oxygen. In contrast, reaction probabilities between SFx (x=1-5) radicals and Al-O would be lower than that of CFx, since the bonding energy of S-O (549 kJ/mol) is much lower than that of C-O (1077 kJ/mol). In addition, the metallic Al might be induced from the fluoride by high-flux bombardment of low-energy ions.5 On the other hand, in both irradiations of CF4/O2 and SF6/O2 plasmas onto the Y2O3 samples, the relative concentrations of fluoride (YOxFy and/or YFx) and metallic Y were much lower than the concentrations of aluminum fluoride and metallic Al on the Al2O3 samples. The results can be attributed to the fact that Y-O bonding energy is larger than that of Al-O (512 kJ/mol). In other words, Y2O3 is more robust than Al2O3.


1G. Cunge, et. al., Plasma Sources Sci. Technol. 14, 599 (2005)
2K. Miwa and T. Mukai, J. Vac. Sci. Technol. B 20, 2120 (2002)
3K. Miwa, et, al., Proceedings of the IEEE. ISSM 2007, PO-O-210, 479 (2007)
4M. Aramaki, et. al., Jpn. J. Appl. Phys. 43, No.3, 1164 (2004)
5J. Roth, et. al., Nucl. Fusion, 36, No. 12, 1647 (1996)

4:20 PM PS1-WeA-9 193 nm Resist Modification Induced by Ballistic Electrons in a DC+RF Hybrid Etcher
M. Honda (Tokyo Electron AT Limited, Japan); K. Yatsuda (Tokyo Electron Limited, Japan); L. Chen (Tokyo Electron America Inc.)
193 nm photoresist (PR) has low plasma etching tolerance. Resist bending, wiggling and poor etch resistance are serious problems in semiconductor manufacturing. The DC+RF Hybrid is a capacitively coupled plasma etcher with a superimposed DC voltage. This configuration was proven to be most effective for maintaining PR integrity during etching. A high negative DC bias is applied to the upper electrode. Secondary electrons emitted from the electrode surface under intense ion bombardment, are accelerated in the sheath and consequently injected into the bulk plasma, forming a ballistic electron beam. This paper presents an investigation of the mechanisms by which a 193 nm resist is modified as a result of exposure to the ballistic electrons. Various surface analytical techniques (SEM, FTIR, Raman, and SIMS) were employed. In addition, simulations were used to calculate the energy dependence of the penetration depth of electrons, ions and UV into the PR. There was no observed modification of the PR under plasma etching without DC bias. On the other hand, the thickness of the modified PR layer increased with increasing DC voltage. The modified depth of the PR layer was in good agreement with the electron penetration depth obtained from Monte Carlo simulations. The observed PR modification was not due the energetic ions, since the modified depth was much greater than the ion penetration depth, obtained from Monte Carlo simulations. In addition, the UV intensity did not correlate with the modified layer thickness. In conclusion, ballistic electrons play an essential role in modifying 193nm resist during etching using a Hybrid DF+RF etcher. By implementing this etcher, many of the critical issues related to the 193nm resist were eliminated.
4:40 PM PS1-WeA-10 Impact of UV Plasma Light on Photoresist Pattern Linewidth Roughness during Gate Etch Processes
E. Pargon, M. Martin, K. Menguelti, X. Mellhaoui, A. Bazin, O. Joubert (LTM/CNRS, France); J. Foucher (LETI/CEA, France)
Typical Polysilicon/gate oxide transistors in integrated circuits are made using a sequence of lithography and plasma etching steps. The decrease in critical dimensions (CDs) of integrated circuits imposes incredibly stringent requirements on lithography and etching processes. According to the International Technology Roadmap for Semiconductors (ITRS 2007), the gate CD for high performance logic devices will be 13 nm for the 32 nm technological node and requirements for linewidth roughness (LWR) will be of 1 nm (3σ). Best lithographic processes result in resist LWR of 4.5 nm (3σ) (measured by CD-SEM). Furthermore, it is now demonstrated that the roughness of the resist pattern sidewalls is partially transferred into the active layers of the gate stack during gate etch processes, impacting significantly the final device performance. In this study, CD-AFM is used to investigate the LWR generated during the lithography and plasma etching steps involved in the patterning of the gate transistors. CD-AFM is a technique that can measure LWR values by scanning resist patterns in 3 dimensions while CD-SEM techniques only bring information in 2 dimensions. Using appropriate experimental protocols, LWR can be estimated at +/- 7% by CD-AFM technique. Our results demonstrate that the efforts to minimize the final gate LWR can be largely concentrated on the etching steps preceding those used to pattern the active materials of the gate stack (Polysilicon, metals, High K) and more particularly those involving the photoresist patterns. Our results demonstrate that LWR of photoresist patterns can be strongly minimized during plasma exposure. For instance, after HBr or Ar plasma cure, the resist sidewalls can be smoothed leading to a decrease in LWR roughness of about 10%, while HBr/O2 resist trimming processes will induce a 50% decrease in LWR (initial LWR ranging from 18 to11 nm) strongly minimizing the final LWR of the gate. Experiments using MgF2, Sapphire and glass windows to separate the influence of plasma radiation from the impact of ions and radicals reveal that UV light emitted by the plasma plays a crucial role in the resist pattern smoothening. Since the other materials involved in the gate stack are less sensitive to UV plasma light, our results demonstrate that the decrease in LWR can be mainly monitored by working on the plasma etch steps involving the photoresist, i.e resist trimming, BARC and hard mask opening steps.
5:00 PM PS1-WeA-11 Influences of UV Photon Irradiation to ArF Resist during Plasma Etching Processes
K. Koyama, B. Jinnnai, S. Samukawa (Tohoku University, Japan)
By the downscaling of semiconductor devices, the ArF excimer laser (193 nm) lithography is now used in the fabrication of sub-100-nm devices. For the ArF lithography, chemical amplification resist is widely used. Differing from the conventional resist, the chemical amplification resist consists of photo acid generator (PAG) which generates photo-acid by UV photon irradiation. Photo acid undergoes a large number of chemical reactions through the acid-catalyzed reaction. In other words, the chemical amplification resist is very sensitive to UV photons. However, during the plasma etching process the ArF resist has serious problems, such as the low etching selectivity for underlying materials and the enhancement of line edge roughness (LER) because it is very weak against reactive plasma irradiation. In order to overcome these issues, it is essential to understand the mechanism of surface reactions for ArF resist during plasma etching processes. In this study, we investigated the effects of UV photon irradiation on the etching selectivity of ArF resist during plasma etching processes by combination of our developed neutral beam etching and conventional plasma etching. Samples were etched by chlorine atom beam (without photon irradiation) and chlorine plasma (with photon irradiation). The etching rate in the neutral beam process was much lower than that in the plasma process, and the etching selectivity of ArF resist in the neutral beam process is drastically improved, as compared with that in the plasma process. Furthermore, to clarify the effects of UV photon irradiation on the ArF resist, we investigated the changes in the chemical bonding state in ArF resist films by using FTIR spectroscopy. In the plasma processes, C-H bonds of alicyclic group and ester C=O bonds in the ArF resist film drastically decreased compared with neutral beam process. Based on these results, we found that UV photon irradiation caused breaking C-H and C=O bonds and degraded the etching selectivity of ArF resist to the etching materials.
5:20 PM PS1-WeA-12 Role of Ion Bombardment Energy in Surface Roughening during Plasma Etching of Polymers and Silicon
Y.H. Ting, C.C. Liu, X. Liu, H.Q. Jiang, F.J. Himpsel, P.F. Nealey, A.E. Wendt (University of Wisconsin-Madison)
Surface roughness in plasma etching is a critical issue for fabrication of nanoscale features. Surface roughness can degrade the electrical and optical performance of nano-devices, and can be a benefit in other applications such as study of biomimetic cellular response to surface topography, surface-enhanced Raman spectroscopy and fabrication of super-hydrophobic surfaces. The theme of this study is the role of ion energy in roughness of polymer surfaces etched in oxygen and fluorine-based plasmas, while prior studies of roughness have included the role of ion to neutral flux ratio at the surface, passivation, pressure, gas mixture, etc. Polystyrene (PS) and polymethyl-methacrylate (PMMA) are of particular interest here for block copolymer lithography of nano-scale features using PS-b-PMMA diblock copolymers, and etching of these materials is contrasted with silicon plasma etching. An rf bias on the substrate electrode, with voltage waveform tailored to provide a narrow ion energy distribution (IED), is employed to enable precise energy selection of bombarding ions. The dependence of surface roughness on bombarding ion energy has been observed using AFM for PS and PMMA during oxygen and fluorocarbon-based plasma etching using the tailored waveform. Based on NEXAFS and XPS measurements of the chemical composition of etched surfaces, we conclude that in oxygen plasma etching, localized oxidation of the PS produces a micromask that enhances roughness, and that roughness is then amplified by shadowing of neutral etchants by the topographical features. As ion bombardment energy increases above the etch onset energy in both oxygen- and fluorocarbon-based plasmas, the thickness of the steady state passivation film, measured with XPS, has been shown to decrease, and surface roughness diminishes. At higher ion energies, the dependence of surface roughness on ion energy differs qualitatively between different materials and gas mixtures. For example, the surface roughness of PMMA increases with increasing ion energy in an oxygen plasma while silicon roughness decreases with increasing ion energy in SF6 plasmas. Published models of etching and roughening mechanisms will be evaluated by comparison with our measurements of roughness as a function of bombarding ion energy, as well as with other published results. Support from the UW NSF MRSEC for Nanostructured Materials is gratefully acknowledged.
Time Period WeA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2008 Schedule