AVS2008 Session PS1-FrM: Plasma-Surface Interactions in Materials Processing II

Friday, October 24, 2008 8:20 AM in Room 304

Friday Morning

Time Period FrM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2008 Schedule

Start Invited? Item
8:20 AM PS1-FrM-1 Effect of Annealing Temperature on the Response of HfO2 to Vacuum Ultraviolet Radiation
J.L. Shohet, J.L. Lauer, G.S. Upadhyaya (University of Wisconsin-Madison); Y. Nishi (Stanford University)
The integration of high-k/metal gate stacks into CMOS technology poses several integration problems for the microelectronic industry. The metal gate electrode is often deposited on the high-k dielectric using plasma-sputter deposition; as a result, the high-k dielectric will be directly exposed to the plasma during metal-gate deposition. Plasma-induced charging damage from energetic electrons, ions, and photons has been found to degrade the electrical characteristics and reliability of the gate dielectric. In this work we use synchrotron radiation to determine the role that VUV radiation has in the production of electron-hole pairs created in HFO2 dielectrics on Si wafers with conductivities of 1000 and 4000 Ohm-cm. We determined the general valance band structure of the HfO2 dielectrics in the photon energy range of 5 and 30 eV. Since Argon is the feed gas most often used in plasma sputter deposition we determined the response of HfO2 films with thicknesses between 4 and 20 nm to the Ar-I emission line at 106.6 nm (11.6 eV), which is often the most intense emission line from an Ar plasma. After the dielectrics are irradiated with VUV, we measured the surface potential as a function of position across the irradiated region with a Kelvin probe. By measuring the surface potential for various thicknesses we are able to separately determine the density of surface and interface trapped charge. From the trapped charge densities we estimate the voltage across the dielectric during irradiation with the use of a mathematical model. By combining the current measurements with the estimated voltage across the dielectric we can determine the conductivity of the dielectric layers as a function of photon flux density. In addition, we determine the effect of annealing temperature of HfO2 dielectrics as a function of total photon dose and compare the results to that of SiO2 films of similar thickness. There appears to be a correlation between the VUV-induced current density and annealing temperature with the total-induced charge measured after VUV irradiation.

Supported by the National Science Foundation under Grant Number DMR-0306582 and the Semiconductor Research Corporation under Contract Number 2008-KJ-1781. The Synchrotron Radiation Center is funded by the National Science Foundation under Grant Number DMR-0537588. *G.S. Upadhyaya present address: Lam Research Corporation, Fremont, CA.

8:40 AM PS1-FrM-2 Response of BEOL Dielectrics to VUV Radiation
J.L. Lauer, J.L. Shohet (University of Wisconsin-Madison); Y. Nishi (Stanford University)
Several integration challenges arise during plasma processing of back-end-of-line (BEOL) dielectrics with the scaling of interconnects from the 65 nm to the 45 nm technology node. This work focuses on the role that vacuum ultraviolet (VUV) radiation has in producing and/or mitigating damage to BEOL dielectrics during plasma processing. Vacuum ultraviolet (VUV) radiation with photons in the energy range of 5 to 30 eV produced by high-density plasmas in plasma-processing systems can cause degradation of electronic devices by producing changes in the optical, mechanical, chemical and electrical properties of dielectrics. In particular, VUV radiation is capable of creating electron-hole pairs within dielectrics. As a consequence of the increased conductivity, the dielectric layer acts as an antenna, being able to collect charges from the plasma which can cause charging damage. To determine how VUV can affect the electrical properties of dielectrics, we utilize synchrotron radiation incident on silicon wafers coated with dielectric layers which, in contrast to plasma exposure, has only photon flux incident on the dielectric surface. Measurements of the charging currents during VUV exposure appearing on dielectrics of various thickness and composition were made. In addition, the total induced charge that remains within the dielectric after VUV exposure was measured with a Kelvin probe. We show the effect VUV has on the induced trapped charge and conductivity of porous SiCOH films with dielectric constants between 2.55 and 3.00 for various film thicknesses. In addition, we compare the valence-band structure between 5 and 30 eV for different etch-stop dielectrics (SiN, SiC, oxygen-doped SiC, and nitrogen-doped SiC) and determine how the accumulation of space charge controls the conductivity of these films. These effects are compared with results obtained with SiO2 to determine the potential integration challenges that these new dielectrics will pose in the future.

Work supported by the Semiconductor Research Corporation under Contract 2008-KJ-1781 and in part by NSF under grant DMR-0306582. The Synchrotron Radiation Center is funded by NSF under Grant Number DMR-0537588.

9:00 AM PS1-FrM-3 Mechanism of Plasma Ashing Damages on Porous SiOCH Films
H. Yamamoto, K. Takeda, M. Sekine, M. Hori (Nagoya University, Japan)
The construction of integration process employing low dielectric constant (low-k) materials for interlayer dielectric is a key for the development of ULSI devices. Since the low-k films tend to be damaged during plasma processes, the damage free plasma processes are strongly required. Although many researchers have been studying on the plasma damage on the low-k films, there has been little in situ evaluation of plasma damages on the low-k films. The in situ evaluation is crucial for the clarification of damage generation mechanism because properties of damaged low-k films change when the low-k films are exposed to atmosphere. We built up an in situ measuring system to evaluate surface properties of the low-k films during plasma process. We investigated H2/N2 ashing plasma damages on porous SiOCH films and the correlation between the amount of damages and densities of radicals in the plasma which make large effects on low-k film properties during plasma process. The surface reaction was measured by using in situ Fourier transform infrared reflection absorption spectroscopy (FT-IR RAS) and spectroscopic ellipsometry. Absolute densities of H and N radicals were measured by vacuum ultraviolet absorption spectroscopy. The 100 MHz excited capacitively coupled plasma was used for the ashing process. The thickness of damaged layer was measured at a real time by the in situ spectroscopic ellipsometry and in situ FT-IR RAS during the ashing process. After the porous SiOCH were exposed to H2/N2 plasma at various flow rate ratios of H2/(H2+N2) for 60s, the thickness of damaged layer was monotonically increased with the flow rate ratio and reached the maximum of 33nm at that of 100%. H radical densities were increased with the flow rate ratio and had the maximum of 7.5x1011cm-3 at that of 75 %, and then, decreased to 6.8x1011cm-3 at that of 100%. N radical densities had the maximum of 9.5x1011cm-3 at that of 25 %. The thickness of damaged layer agreed well with the H radical density in the region for 25% to 75%. Even though the H radical density decreased, the thickness of damage increased at the flow rate ratio of 100 %. On the basis of these results, we consider that damages on the porous SiOCH are determined by chemical reactions of H radicals which enhance the damage reaction and N radicals which have an effect of inhibition of the damages.
9:20 AM PS1-FrM-4 Surface Modifications of Ultralow Dielectric Constant Materials Exposed to Plasmas under Sidewall-like Conditions
M.S. Kuo, G.S. Oehrlein (University of Maryland at College Park)
Fluorocarbon (FC)/Ar based capacitively coupled plasmas (CCP) are widely used for dielectric etch in back-end-of-line (BEOL) processes. During formation of via/trench structures, highly polymerizing FC radicals deposit on via/trench sidewalls in parallel with ion-assisted etching of the dielectric. Since porous ultralow dielectric constant κ (ULK) dielectrics for 45 nm technologies or below are sensitive to plasma damage, FC thin film deposition on sidewalls is examined for its potential to protect ULK against plasma damage during subsequent process steps, e.g. photoresist ashing. For conditions where a small gap, high-aspect ratio structure in conjunction with blanket ULK films is used to simulate surface chemistry aspects of trench sidewalls we find that a thin (~1-3 nm) FC film deposits on the ULK surface and protects the ULK material against damage from other neutrals species, e.g. fluorine. The dependence of protection efficiency on FC film thickness and FC etching chemistry is discussed. The small gap geometry neglects the effect of scattered ions on ULK sidewalls, which potentially may produce surface modifications of actual ULK via/trench sidewalls. We attempted to address the effect of scattered ions, by examining ULK surface portions additionally bombarded by ions deflected at the edge of the gap structure. Fluorocarbon film deposition rates and composition were studied for these surface regions. The influence of these ULK surface modifications on ULK damage during photoresist mask stripping will be evaluated as a function of gap structure geometry, plasma chemistry and ion energy.
9:40 AM PS1-FrM-5 Degradation Mechanisms of Structure and k Value of Low-k Film by Plasma Irradiation
J. Chung, S. Yasuhara (Tohoku University, Japan); K. Tajima, H. Yano, S. Kadomura, M. Yoshimaru, N. Matsunaga (Semiconductor Technology Academic Research Center, Japan); S. Samukawa (Tohoku University, Japan)
While the feature size of ultra-large-scale integrated circuits (ULSIs) has been shrinking, conventional Al/SiO2 interconnects have been substituted by Cu/low-dielectric (low-k) film interconnects to reduce the resistance-capacitance (RC) delay and power consumption of the circuits . Since suitable Cu etching processes are not readily available, damascene processes have been developed for Cu/low-k interconnects. Plasma processes are extensively used for the etching of low-k films. However, since low-k films, such as porous silica films incorporated with methyl groups (SiOC films), are vulnerable to plasma irradiation, low-k films are severely damaged during plasma etching processes. During such processes, methyl groups are extracted from SiOC films due to ion, radical, and photon irradiation from plasma. As a result, the dielectric constant of SiOC films increases during plasma etching processes. We previously proposed a neutral beam process, in which the effects of photon irradiation from plasma can be eliminated, and using this process, we achieved low-damage etching/ashing of low-k films, which is not possible with the conventional plasma process. We speculated that the elimination of photon irradiation was attributed to the low-damage etching of low-k films. Still, the damage mechanism in low-k films during plasma etching has not been fully clarified. Further experiments are therefore needed to fully understand the degradation mechanism of structure and k value of low-k film and influences of ion, radical, and/or photon irradiation on the structure and k value of low-k film during the plasma etching processes. For these reasons, we precisely investigated the changes of structure (linear Si-O, Cage Si-O, Network Si-O, Si-CH3/Si-O, Si-(CH3)1/Si-(CH3)1 ,and k value by ions, chemical reactions by radicals and ions, and photon irradiation on SiOC films during Ar, CF4, O2 and H2 plasma irradiation. We found that the damage degree of low-k film depends on its structure components ratio.
10:00 AM PS1-FrM-6 Study of SiOxFy Passivation Layer Deposited in SiF4/O2 ICP Discharge used in Cryogenic Alternated Etching Processes
J. Pereira, L.E. Pichon, R. Dussart, C.Y. Duluard, E.H. Oubensaid, H. Jiang, P. Lefaucheux (GREMI, France); M. Boufnichel (ST Microelectronics, France); P. Ranson (GREMI, France)
Silicon dry etching is widely used in microelectronics and microsystems industries in order to elaborate high aspect ratio structures [Micro-ElectroMechanical Systems (MEMS), Micro-OptoElectroMechanical systems (MOEMS) or integrated components]. In order to elaborate such deep structures, industry mainly uses the Bosch process, consisting of an alternation of isotropic etching (SF6 plasma) and deposition (C4F8 polymerizing plasma) steps at ambient temperature. Even if this process can be well controlled, it presents many drawbacks such as sidewall roughness due to scalloping effect, or low etch rate. Thus, a new robust process used to form high aspect ratio structures into silicon bulk, called alternated cryogenic process (STiGer) is investigated.1 Its principle consists of etching a silicon wafer cooled at cryogenic temperature (T~-80°C) by the alternation of isotropic (SF6) etching steps and plasma deposition steps in SiF4/O2 gas mixture. The deposition step leads to the formation of a SiOxFy passivation layer used to protect the sidewalls and enhanced the anisotropic etching.2 The formation of this passivation layer is not yet well understood, and its study is crucial for improving the cryogenic process. Moreover, fluorine-doped silicon dioxide films deposited by plasma have gained considerable importance for applications as low dielectric constant layers for reducing the capacitance between metallic lines in silicon based high-speed integrated circuits.3 In this presentation, SiOxFy thin films deposited in ICP reactor are analysed in order to understand their formation and evaluate the effect of various parameters: SiF4/O2 gas mixture, substrate temperature, negative bias voltage or source power. The chemical structure and composition of the passivation layer is particularly studied. Fourier-Transformed InfraRed spectroscopy (FTIR) is used in order to determine the molecular groups constituting the coating and particularly the fluorine incorporation within the SiO2 network and its consequences. Ellipsometric measurements give us informations on deposition rate and films characteristics such as refractive index, n.


1 T. Tillocher and al., J. Electrochem. Soc., Volume 155, Issue 3 (2008) pp. D187-D191.
2 X. Mellhaoui and al., J. Appl. Phys., 98 (2005) 104901.
3 T. Homma, Mater. Sci. Eng., R Rep. 23 (1998) 243.

10:20 AM PS1-FrM-7 Anisotropic Fluorocarbon Plasma Etching of Si/SiGe Heterostructures and Induced Sidewall Damage
R. Ding, M.G. Friesen, L.I. Klein, M.A. Eriksson, A.E. Wendt (University of Wisconsin-Madison)
Plasma etching is a critical tool in the fabrication of Si/SiGe heterostructure quantum devices, but it also presents challenges, including 1) control of etch profiles and 2) damage to etched feature sidewalls that affects device performance. 1) Fluorine-based plasma etching often results in device profiles with undercuts due to preferential etching of SiGe over silicon. A C4F8/N2/Ar etch plasma gas mixture introduced here has been successfully used to achieve straight sidewalls through heterostructure layers by formation of a fluorocarbon inhibitor film on feature sidewalls to prevent undercutting. 2) Chemical and structural changes in the semiconductor at feature sidewalls associated with plasma-surface interactions are considered damage, as they affect band structure and electrical conduction in the active region of the device. Here we report the results of experiments designed to better understand the mechanisms of plasma-induced sidewall damage in modulation-doped Si/SiGe heterostructures containing a two-dimensional electron gas (2DEG). Damage to straight wires was characterized both by the width of the non-conductive “sidewall depletion” region at the device sidewall and by the noise level factor, γH/N, determined from spectra of the low frequency noise. Observed increases in sidewall depletion width with increasing etch depth are tentatively attributed to the increase in total number of defects with increased plasma exposure time. Excess negative charge incorporated into the fluorocarbon inhibitor film could be another contributing factor. Other factors considered, including defects at the bottom of etched features as well as leakage current bypassing the wire, appear to contribute minimally. The noise level shows a minimum at an ion bombardment energy of ~100 eV, while the sidewall depletion width is independent of bias voltage, within experimental uncertainty. A proposed explanation of the noise trend involves two competing effects as ion energy increases: the increase in damage caused by each bombarding ion and the reduction in total ion dose due to shorter etch times and reduced ion flux to the sidewalls.
10:40 AM PS1-FrM-8 Influences of Electrical Characteristics in Carbon Nanotubes by Neutral Beam Irradiation
A. Wada, Y. Sato (Tohoku University, Japan); M. Ishida, F. Nihey (NEC Corporation, Japan); K. Tohji, S. Samukawa (Tohoku University, Japan)
In an effort to realize carbon nanotube FET (CNT-FET), it is necessary to control electrical characteristics of grown CNTs by using plasma processes. However, the conventional plasma process induces severe damages into CNTs because charged particles and ultraviolet photons generate the defects in the CNTs. As a result, the CNT-FET could not be practically fabricated using conventional plasma processes. Here, we have proposed surface modification of CNTs by using our developed neutral beam to slove the problems and to control defects and electrical characteristics. Neutral beam can almost eliminate irradiation of charged particles and ultraviolet photons to CNTs. In this study, we irradiated Ar and N2 time-modulated neutral beam (TM-NB) to single-walled carbon nanotubes (SWCNTs). Ultra violet-visible-near infrared (UV-Vis-NIR) spectroscopy was performed to understand the electrical characteristics SWCNTs before and after Ar and N2 TM-NB irradiation. It was observed that Metal/Semiconductor peak intensity ratio was decreased after beam irradiation. This result means that the ratio of semiconductor nanotubes to metallic nanotubes increased after neutral beam irradiation. It is speculated that TM-NB mainly destroys metallic carbon nanotubes. Additionally, UV-Vis-NIR also confirmed that the band gap of SWCNTs was shifted to lower energy by the neutral beam irradiation. This result means band gap energy of SWCNTs can be controlled by the neutral beam irradiation. Based on these results, TM-NB could realize selective breaking of metallic carbon nanotubes and precise control of band gap in CNTs. As a result, we found that TM-NB could control electrical characteristics in CNT-FET.
11:00 AM PS1-FrM-9 Surface Modification of PTFE Surfaces with Post-Discharge RF Plasmas Operating at Low and Atmospheric Pressure
N. Vandencasteele, E. Carbone, F. Reniers (Universite Libre de Bruxelles, Belgium)
PTFE samples were exposed to post-discharges of RF plasma operating at low pressure (5 10-2 torr,pure O2) and at atmospheric pressure (Ar-O2). The plasma phase was characterized using optical emission spectrometry (OES). More specifically, the intensity of the O 777 nm line was chosen as a probe for the chemical reactivity of the plasma. The PTFE surface was characterized using monochromatized XPS, dynamic water contact angle, and atomic force microscopy. Depending on the power and treatment time, the surface energy could be either increased or decreased. At low power and/or treatment time, an increase in surface energy due to the grafting of oxygenated polar species is obtained. At high plasma power, a decrease in surface energy, leading, in some cases, to superhydrophobic surfaces is obtained. No oxygen is detected on such surfaces by XPS, and the superhydrophobic behaviour is attributed to an increase in roughness, as evidenced by AFM. By changing the position of the sample in the low pressure system, we could discriminate the role of the electrons, and the one of atomic oxygen. It is suggested that the increase in roughness is due to a chemical etching of the surface, initiated by high energy electrons, and terminated by the formation of gaseous products, CO and CO2, as detected by OES. A reaction mechanism is proposed. Interestingly, similar behaviours are observed using the low pressure system, and the atmospheric pressure system, in one particular geometry. The comparison between low pressure and high pressure results, on the same kind of sample, with the same type of plasma generation (RF mode) opens new routes for the understanding of surface reaction mechanisms at the atmospheric plasma – polymer interface.

This research is funded by the IAP "plasma surface interactions", from the Belgian Federal Government.

11:20 AM PS1-FrM-10 CoSix Damage in Etching and Ashing Plasma
K. Katahira (Sony Semiconductor Kyushu Corporation, Japan); T. Tatsumi, S. Kobayashi, M. Fukasawa (Sony Corp., Japan); T. Takizawa, M. Isobe, S. Hamaguchi (Osaka University, Japan); K. Nagahata (Sony Corp., Japan)
Silicidation of the source/drain is required to produce high-speed CMOS devices, and suppressing the fluctuation of the contact resistance is one of the most important issues. In this study, we clarified the mechanism of fluctuation in contact resistance caused by plasma processes, and we vastly improved the controllability. The relationship between the plasma parameters and the contact resistance to CoSix was investigated using a dual-frequency (27/2 MHz) CCP system. A SiO2/SiN/CoSix stacked sample with hole patterns was used. A CF4 or CH3F based plasma was used for SiN etching and O2 or H2/N2 plasma was used for the subsequent ashing process. The thickness and composition of the damaged layer were analyzed using XPS, SIMS, and TEM. The ion energy distribution function and the ion penetration depth were calculated using a Monte-Carlo simulation, and a newly developed molecular dynamics (MD) simulation for a Si-O-C-F-H system, respectively. The resistance of the contact increased more when CH3F was used than when CF4 used, and a further increase was observed in a high Vdc condition in CH3F. We found that the resistance increase was caused by incident ions from the plasma. The mass number of dominant ions (CH2F+; m/e=33) in CH3F plasma was much lower than that (CF3+; m/e=69) in CF4 plasma. An MD simulation revealed that the dissociated C, H, and F species from CH2F penetrate deeper than those from CF3 due to the mass number difference of parent ions. Deeper damage caused by the ion penetration stimulates a deeper oxidation of CoSix and raises the contact resistance. We also investigated the effect of the ashing process on the contact resistance. When using a high Vdc condition during ashing, the contact resistance increased significantly. In particular, even in H2/N2 ashing, not using O2, the contact resistance increased. When H2/N2 plasma, the damage of CoSix was formed by deep H penetration. The damaged CoSix layer can be readily oxidized during air exposure, resulting in the resistance increase. We observed that the contact resistance has a linear relationship with oxygen concentration in CoSix. Thus, precise control of the ion energy as well as proper selection of the ion species in the plasma process is indispensable in the fabricating next-generation devices.
Time Period FrM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2008 Schedule