AVS2007 Session PS1-MoM: Plasma Modeling

Monday, October 15, 2007 8:00 AM in Room 606

Monday Morning

Time Period MoM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2007 Schedule

Start Invited? Item
8:00 AM PS1-MoM-1 Mechanisms of Surface Roughness Formation and Evolution during Plasma Etching
G. Kokkoris, V. Constantoudis, G. Boulousis, P. Angelikopoulos, E. Gogolides (NSCR Demokritos, Greece)
The control and understanding of roughness formation during plasma etching is of primary importance in micro- and nano-fabrication technology. In one hand, the roughness of the surfaces of fabricated features may degrade electrical, optical or other device performance. On the other hand, there are beneficial effects of high surface roughness, e.g., in the fabrication of super-hydrophobic surfaces.1 In this work, a stochastic (Monte Carlo) simulator is used for the study of the surface roughness formation and evolution during plasma etching. The etched film is represented by cells. Shadowing and reemission of particles are taken into account. The trajectory of each particle is calculated until sticking on a cell. The interaction of the particles with the cells is defined by the sticking probability and the etching yield. The focus of the simulation is on Si etching by fluorine-containing plasmas. A common finding of past works devoted to investigation of roughness origins on plasma etched Si surfaces was the increase of the surface roughness, i.e., the root mean square roughness, versus etching time.2,3,4 In a previous work2, by using a (1+1)D stochastic simulator, we also demonstrated that the experimentally observed dual scale roughness is captured by a simple model including reactive neutral species, ions and etch inhibitors; the latter may come from sputtering of the electrode and the reactor-wall (hard inhibitors). In this work, we attempt to quantitatively reproduce all surface roughness parameters, e.g., correlation length, by a) refining model parameters, b) including another type of etch inhibitors (soft inhibitors) produced in the bulk phase of the plasma reactor, c) taking into account surface diffusion, and d) using a (2+1)D simulation tool. Atomic Force Microscope (AFM) images of Si surfaces etched by SF6 plasma are characterized and compared to the simulation results.


1 N. Vourdas, A. Tserepi, E. Gogolides, Nanotechnology 18,125304(2007).
2 P. Angelikopoulos, V. Constantoudis, G. Kokkoris, G. Mpoulousis, P. Xidi, and E. Gogolides, AVS 53rd, San Francisco, USA, November 12-17, 2006.
3 Y.-P. Zhao, J. T. Drotar, G. C. Wang, and T. M. Lu, Phys. Rev. Lett. 82, 4882 (1999).
4 E. Gogolides, C. Boukouras, G. Kokkoris, O. Brani, A. Tserepi, and V. Constantoudis, Microelectron. Eng. 73-74, 312 (2004).

8:20 AM PS1-MoM-2 Molecular Dynamics Simulation of Hydrogen Induced Damage to Si and SiO2 Substrates during Reactive Ion Etching (RIE) Processes
T. Takizawa (Osaka University, Japan); S. Kobayashi, T. Tatsumi (Sony Corp., Japan); S. Hamagushi (Osaka University, Japan)
As the dimensions of transistors diminish in Ultra-Large-Scale Integrated (ULSI) circuits, "small" damage to the substrate materials caused by plasma etching during chip fabrication processes can have adverse effects on chip performance. In the present work, we investigate damage to Si and SiO2 caused by energetic hydrogen injections in, for example, a reactive ion etching (RIE) process of Si with a gas containing HBr, using classical molecular dynamics (MD) simulations. In this work, we performed MD simulations of energetic hydrogen injections into Si and SiO2 substrates and measured penetration depths of H atoms and also reaction characteristics, e.g., bond formation of injected hydrogen with substrate atoms, as functions of the injection energy in the range of 50 -200eV. As injected species, we examined both atomic and molecular hydrogen, i.e., H and H2. It is usually difficult to determines penetration (implant) depth profiles for hydrogen experimentally, so these MD simulation may give good insight into such problems. It was found that the average penetration depth is essentially proportional to the injection energy. For Si and SiO2 substrates, hydrogen is found to penetrate deeper in Si than in SiO2 for the same injection energies. The penetration depth has been also found to depend only on the impact energy of each H atom whether it is injected as a hydrogen atomic or part of a hydrogen molecule. As to reaction characteristics, in the case of a SiO2 substrate, the majority of injected hydrogen atoms are bound with substrate oxygen atoms, generating hydroxyl groups. In this case, H2 molecules are hardly formed. Similarly, in the case of a Si substrate, the majority of injected hydrogen atoms form Si-H bonds. However, in this case, H2 molecules can be also generated in the Si bulk. These MD simulations are based on a newly developed classical interatomic potential functions for Si, C, O, F, and H systems. The model potential functions were developed from data obtained from the density functional calculations based on Gaussian03 (B3LYP), a first-principle simulation code. We are also in the process of evaluating sputtering yields of SiOCH by energetic injections of fluorocarbon radical ions, i.e., CFx+. Details of such reactions will be also discussed.
8:40 AM PS1-MoM-3 Vertically Integrated Computer Aided Design for Devices Process
T. Makabe (Keio University, Japan)
We had our opportunity to propose a relaxation continuum (RCT) model for a low temperature radio-frequency plasma in 1988 in GEC.1 In 2000 we presented a vertically integrated computer aided design for device processes (VicAddress) in RGD.2 With the aid of VicAddress described by a hybrid model consisting of the RCT model and particle model, a series of modeling has been carried out for the prediction and the design for a space- and time-resolved plasma structure, sheath dynamics of reactive species, a feature profile evolution, and the damage to a lower level device element during plasma etching.3 These are a typical example of the multi-scale system. In this talk, we will review the dry etching of dielectric SiO2 in CF4/Ar4 and organic low-k material in N2/H25 under competitive processes among charging, deposition, and etching at each of local positions of a geometrical structure by considering two-layers, intrinsic (or mixing layer) and an overlaying polymer layer in a two-frequency capacitively coupled plasma on the basis of a database of etching yield. Also a Si deep etching with several hundreds of micrometers such that used in MEMS fabrication is predicted under an effect of plasma molding, and the effect of ions, F-radicals, and oxygen radicals on the feature profile is investigated in SF6/O2 in 2f-CCP.6


1T. Makabe, 41st GEC (Minneapolis)(1988).
2T. Makabe, 22nd Int. Symposium on Rarefied Gas Dynamics (Sydney) (2000).
3T. Makabe and Z. Petrovic, "Plasma Electronics: Applications in Microelectronic Device Fabrication", Taylor & Francis (New York) (2006).
4T. Shimada, T. Yagisawa, and T. Makabe, Jpn. J. Appl. Phys. 45, 8876 (2006).
5K. Ishihara, T. Shimada, T. Yagisawa, and T. Makabe, Plasma Physics and Controlled Fusion, 48, B99 (2006).
6F. Hamaoka, T. Yagisawa, and T. Makabe, IEEE TPS (accepted for publication).

9:20 AM PS1-MoM-5 Ion Energy and Angular Distributions into Small Features in Plasma Etching Reactors: The Wafer- Focus Ring Gap1
N.Y. Babaeva, M.J. Kushner (Iowa State University)
The termination of the edge of the wafer in reactive ion etching is important to obtaining uniform reactants across the entire substrate. This goal is often complicated by the necessary mechanical gap between the edge of the wafer and the terminating structures, such as the focus ring. Plasma penetration into the gap is of concern due to the likelihood of depositing particle forming materials and erosion. We previously showed that the ratio of the Debye length (or sheath thickness) to the width of the wafer-focus ring gap (WFG) is an important parameter: small ratios allow penetration of plasma species in the WFG.2 As a result, orientation of the electric field, ion trajectories and ion energy and angular (IEAD) distributions are functions of this ratio as well as the details of the geometries and materials. In this talk, we extend that study with a computational investigation of ion energy and angular distributions into the WFG. The model used in this investigation is a 2-dimensional plasma hydrodynamics model utilizing an unstructured mesh to resolve the small structures of the WFG. A Monte Carlo simulation was added to the model to track the trajectories of the ions and neutrals while capturing their gas phase collisions and interactions with the surface. Electric potentials as a function of phase from the unstructured mesh are interpolated onto a fine rectilinear capable of resolving the WFG to facilitate a rapidly executing MCS. The consequences of voltage waveform, frequency and geometry of the WFG on IEADs inside the gap (e.g., incident on the lower edge of the wafer bevel) will be discussed for RIE plasmas sustained in fluorocarbon gas mixtures at tens of mTorr.


1 Work supported by the Semiconductor Research Corp. and the National Science Foundation.
2 N. Y. Babaeva and M. J. Kushner, "Penetration of Plasma into the Wafer-Focus Ring Gap in Capacitively Coupled Plasmas", to appear in J. Appl. Phys. (2007).

9:40 AM PS1-MoM-6 Effect of Dual Frequency Bias on Ion Energy and Angular Distribution and Feature Profile in a Capacitively Coupled Plasma Reactor
K. Bera, S. Rauf, K. Collins (Applied Materials, Inc.)
Capacitively coupled plasma reactors are commonly used in dry etch processes. Control of ion energy and angular distribution function (IEADF) is key to achieving desired etch profile in a plasma reactor. Dual frequency bias combining medium frequency (MF) and high frequency (HF) can be used to modulate the ion energy distribution. Understanding the effect of MF and HF mixing on IEADF is crucial for etch profile control. MF and HF bias are mixed in this investigation, and the mixing effect is characterized for IEADF and etch profile. Plasma simulations have been conducted using the Hybrid Plasma Equipment Model, while a string-based model is used for feature profile simulations. Interaction between ions and electric field modulation across the sheath determined the ion energy and angular distribution. A mono-energetic peak is observed using HF bias while ion energy distribution is broader using MF bias. DC bias is comparable at HF and MF bias for a given RF voltage. Plasma density with MF bias is smaller than that with HF bias. Mixing of MF and HF leads to lower DC bias and lower ion energy. The plasma density distribution and sheath structure are observed to change as the MF voltage is increased relative to HF bias for a given total MF + HF voltage. Ion flux at the wafer is determined by the plasma profile while the local sheath dynamics control the ion energy and angular distribution. Ion flux and IEADF are calculated near the center, middle and edge of the wafer, which are then input into a feature profile model. The feature profile model includes mechanisms for Si and mask etching. The etch depth and selectivity are calculated at the above three locations on the wafer for various mixtures of MF and HF biases. The etch profile is tuned using the mixing of MF with HF bias. Based on etch depth variation across the wafer, etch profile uniformity is calculated. Profile tilting is observed at locations where sheath is non-planar and the ion angular distribution is asymmetric. Various process-kits are evaluated to minimize profile tilting.
10:20 AM PS1-MoM-8 Modeling of a Dual-Coil and Dual-Flow Inductively Coupled Plasma Reactor
C.C. Hsu, V. Le, J.P. Chang (University of California at Los Angeles)
The ion flux spatial profile and the etching by-products transportation are among the most important characteristics for plasma processes. The above characteristics need to be well-tailored in order to achieve the desired etching process performance, such as the uniformity and the etched feature profile. A numerical model has been developed to investigate how the processing parameters affect the plasma characteristics and how these characteristics in turn alter the spatial distribution of the plasma species. This numerical model is a two dimensional fluid model of an inductively coupled plasma in mixtures of chlorine, oxygen, and argon. It has been setup to resemble a simplified commercial etching tool, AMAT DPS-IITM, used for 300 mm wafer shallow trench isolation (STI) processes. This tool is equipped with dual-coil and dual-flow arrangements allowing for additional etching process control. It was shown in this model that the spatial profile of the inductively coupled power deposition can be altered by changing the current ratio of the outer and the inner coils of the dual-coil (DC ratio). This change in turn altered the spatial profile of the ion flux and the plasma species densities. For example, by increasing the DC ratio, the center-to-edge ratio of the Cl radical and the ion flux to the wafer surface decreased. The model was then used to interpret the spatial variation and the variation among different operating conditions of a number of STI etched feature profiles obtained by an AMAT DPS-IITM with chlorine-based chemistries. The model qualitatively explained the spatial variation of the etched depth and the side wall angles of the etched profiles. One example was to explain the consistently positive center-to-edge variation of the etched profile sidewall angel using the center-high by-products density obtained by this fluid model. The effect of the flow to the dual-flow gas feed on the by-products transportation and distribution will also be discussed.
10:40 AM PS1-MoM-9 Prediction of Feature Profile Evolution of Deep Si Etching under Effect of Plasma Molding in 2f-CCP in SF6/O2
F. Hamaoka, T.Y. Yagisawa, T. Makabe (Keio University, Japan)
Deep-RIE is widely used in MEMS fabrication. In large-scale etching, plasma molding is one of the important issues.1 In our previous study, the influence of the ion transport under the distorted electric field, i.e., plasma molding, on the anisotropic Si etching was numerically investigated without considering the neutral reaction.2 In this study, we numerically investigate the feature profile evolution of deep Si etching on the MEMS scale in a 2f-CCP in SF6/O2 under competition between Si etching by ions and F radicals and passivation layer formation by O radicals, including the effect of plasma molding. In SF6(83%)/O2 at 300 mTorr, for only physical SF5+ ion etching of Si, the etching is enhanced at the bottom corner due to the distorted ion incidence on the wafer under the plasma molding. In the case of RIE caused by both SF5+ ions and F radicals without passivation layer formation, the influence of the plasma molding on the feature profile is not observed because of a much higher etching rate of Si by F radicals than that by SF5+. However, the bowed and undercut profiles appear significantly at the sidewall and near the silicon-mask interface. Finally, we estimate the feature profile evolution of Si by RIE with the passivation layer formed by O radicals. Under the presence of the plasma molding, the removal of the passivation layer by energetic ions at the bottom corner is strengthened by the effect of excess ion flux with distorted angular distribution. On the other hand, an insufficient amount of ions leads to less efficient removing the passivation layer at the center of the bottom. The chemical etching rate of Si layer for F radicals is much higher than that of passivation layer. Thus, when the passivation layer is removed by SF5+ion impact, the etching of Si is enhanced by addition of F radicals. As a result, this indicates that anisotropy of the etching profile is not achieved especially at the bottom in SF6(83%)/O2 at 300 mTorr.3 Further investigation will be given for influence of the percentage of Oxygen on anisotropic feature profile on the MEMS scale in the 2f-CCP system.


1D. Kim and D. J. Economou, IEEE. Trans. Plasma Sci., vol. 30, no. 5, pp. 2048-2058, 2002.
2F. Hamaoka, T. Yagisawa, and T. Makabe, Jpn. J. Appl. Phys., vol. 46, no. 5A, pp. 3059-3065, 2007.
3 -, IEEE Trans. Plasma Sci., (accepted for publication), Oct 2007.

11:00 AM PS1-MoM-10 Prediction of Feature Profile Evolution in Shallow Trench Isolation Etching
J. Hoang, C.C. Hsu, J.P. Chang (University of California at Los Angeles)
Predictive modeling of feature profile evolution is critical to understand the complex plasma-surface interactions and aid the design of etch chemistry and development of etch systems. In this work, a direct simulation Monte Carlo (DSMC) method is used to develop a simulator that predicts profile evolution during shallow trench isolation etch (STIE) in Cl-based plasmas. Due to the discretized cells inherent in the model, a segmented surface advancement algorithm was developed to capture surface normals and particle fluxes. Plasma parameters such as particle densities and mean ion energy are determined from a reactor scale model, while ion energy distribution (IED) and ion angular distribution (IAD) are verified by a particle-in-cell (PIC) model.1 A fractional factorial design of experiments determined major processing parameters affecting profile evolution in a Cl2, O2, and N2 plasma in a dual coil inductively coupled plasma reactor. Out of seven parameters investigated, chamber pressure and DC ratio (current ratio of inner and outer coils) had the most effect on the feature side wall angle (SWA), one of the most critical parameters defining the success of STIE. Additional experiments were performed to assess the effect of O2 addition as well as to ascertain the effects of source power and DC ratio. Scanning electron microscopy (SEM) was used to assess the profile evolution in various plasma chemistries under different etch conditions. Slight microtrenching was observed in features etched by pure chlorine (no O2) and was accurately predicted by the profile simulator, sampling only ions (Cl+), neutral etchants (Cl), and a minute ratio of neutral passivants (e.g. SiCl2). Changes in plasma density and substrate bias (translating to ion energy) were found to significantly alter the sidewall tapering and etch depth. The profile simulator captured these changes by determining the full-width half maximum of the IAD as a function of substrate bias and adjusting the neutral to ion ratio which is affected by pressure and source power. The erosion of the hard mask during STIE was found to occur in a high density plasma under high substrate bias, affirming an etching threshold energy of the hard mask, and the simulator successfully predicted the double facets on the hard mask and the resulting profile.


1A. C. F. Wu, M. A. Lieberman, and J. P. Verboncoeur, Journal of Applied Physics 101, 056105 (2007).

11:20 AM PS1-MoM-11 Investigation of Source and Bias Pulsing for High Aspect Ratio Silicon Etching
G. Wenig, A. Kersch, W. Jacobs, S. Barth, A. Henke, J. Sobe, A. Steinbach, S. Wege (Qimonda, Germany); M. Reinicke (Dresden University of Technology, Germany)
Silicon etching based on a HBr/O2/NF3 plasma generated in a dual (2 and 60MHz) frequency capacitively coupled MERIE plasma reactor is used to fabricate DRAM trench capacitors. To maintain a constant capacitance per memory cell an optimum aspect ratio and trench shape with respect to capacitance and cost has to be achieved. In this contribution a high aspect ratio silicon etch selective to an oxide mask is studied with respect to the influence of pulsing the rf sources on the ion energy and angular distributions. Particle-in-cell and efficient hybrid fluid-kinetic simulations are used to investigate the consequences of source and bias pulsing. Both methods use identical ion-neutral collision models. The required differential cross sections have been computed ab initio. Charge exchange processes are treated with a constant charge exchange probability. The resulting ion and neutral distributions are used as input for a Monte Carlo simulation of particle transport in the trench. Pulsing frequencies, duty cycles, and phase shifts are varied separately. Modeling results and experimental data show the beneficial effects of pulsing on etch results.
11:40 AM PS1-MoM-12 Global Plasma Simulations using Dynamically Generated Chemical Models
J.J. Munro, J. Tennyson (University College London, England)
Extensive molecular data is a key requirement in understanding modern technical plasmas. Here we present a method for coupling molecular data with chemical models and a global plasma simulation to enable rapid testing and evaluation of new plasmas. A global plasma model (GLOBAL_KIN1) is extended using an expert system 'Quantemol-P' to enable ad-hoc simulations using new plasma recipes. A set of atomic and molecular species to be considered in the plasma simulation is specified by the user. The expert system generates a complete set of reaction pathways for both the gas and surface reactions in a plasma. This set is pruned by discarding un-physical reactions and reaction data not appropriate to technical plasmas. Where data exists, a rate is calculated for the reaction at the plasma temperature so its importance can be evaluated. Where no data exists, a set of heuristics is used (based upon reaction type) to evaluate the reaction's importance. A user can adjust the species, gas phase reactions, surface reactions and plasma properties to control the simulation. The reaction list is populated with a database of molecular parameters and cross-sections; missing data can be calculated using a further expert system 'Quantemol-N'.2 This applies the R-Matrix method, which has proven highly accurate for electron-molecule interactions.3 In instances where this R-Matrix method does not apply, other methods such as the Binary Encounter Bethe model4 maximize the range of cross-section data available. The method allows for rapid investigation of new plasma recipes with a greater level of flexibility than previously achievable. A simple plasma-etch example is presented to demonstrate the system.


1D.S. Stafford and M.J. Kushner, O2(1-delta) Production in He/O2 Mixtures in Flowing Low Pressure Plasmas, J. Appl. Phys. 96, 2451(2004).
2J. Tennyson, D.B. Brown, J.J. Munro, I. Rozum, H.N. Varambhia and N. Vinci, Quantemol-N: an expert system for performing electron molecule collision calculations using the R-matrix method, J. Phys. Conf. Series, (in press).
3H.N. Varambhia and J. Tennyson, Electron collision with HCN and HNC molecules using the R-matrix method, J. Phys. B: At. Mol. Opt. Phys. 40, 1211-1223 (2007).
4W. Hwang, Y.-K. Kim and M.E. Rudd, New model for electron-impact cross sections of molecules, J. Chem. Phys. 104(8), 2956-2966 (1996).

Time Period MoM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2007 Schedule