AVS2003 Session PS2-ThM: Low k Dielectric Etch

Thursday, November 6, 2003 8:20 AM in Room 315

Thursday Morning

Time Period ThM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2003 Schedule

Start Invited? Item
8:20 AM PS2-ThM-1 Smart Nanoprocess for Organic Low-k Film Etching
M. Hori (Nagoya University, Japan)
Conventional plasma etching process depends on the external parameters such as gas pressure and input power, and hereby the optimal process condition must be obtained by investigating etching characteristics for each process. On the other hand, smart nanoprocess, where both etching rate and pattern profile are controlled with the assistance of feedback system based on the information of species monitored in situ, will enable us to realize the high performance in nano-scale fabrication. In this study, we have focused on monitoring the behavior of radical densities in the plasma to understand gas phase and subsurface reactions of radicals. By choosing internal parameters of densities (radicals and electrons) and a substrate temperature, both etching rate and fine pattern profile were controlled precisely. The behavior of species in the gas phase and their effects on the etching characteristics of organic low-k film were investigated in 500 MHz ultrahigh frequency (UHF) plasma and 13.56 MHz inductively coupled plasma using N2/H2 and N2/NH3 gas chemistry. The absolute H and N radical densities were measured by vacuum ultraviolet absorption spectroscopy employing a very compact light source. The vertical profile with a high etch rate of about 400 nm/min was successfully obtained in both plasmas simply by choosing a radical density ratio of H/H+N=0.8 and a substrate temperature of 20°C. The time evolution of plasma-induced subsurface reaction for the vertical profile was observed by Fourier transform infrared attenuated-total-reflection (FT-IR ATR) and in-situ XPS. Furthermore, the fundamental etching mechanism was clarified using multi-beams of ions and radicals. It is indicated that the smart nanoprocess is promising for the precise etching of organic low-k films.
9:00 AM PS2-ThM-3 Etching Mechanisms of Methylsilsesquioxane Low-k Material in High Density Fluorocarbon Plasma
D. Eon, V. Raballand, G. Cartry, M.C. Peignon-Fernandez, C. Cardinaud (CNRS, University of Nantes, France)
At present, performances in integrated circuit (IC) are limited by interconnection delay, which increases with the reduction of feature size (below 100 nm). One solution to reduce interconnection delay, is to diminish the parasitic capacitance between levels by replacing SiO2 (k=4.5) conventional interlevel dielectric by a low dielectric constant material (low-k). The integration of these into IC fabrication requires i) that a selective etching is achievable with respect to the mask and bottom layer ii) that the etching does not adversely affect the permittivity of the film. This study concerns the etching of the methylsilsesquioxane based materials (SiOC k=2.9, SiOC with 40% of porosity k=2.2) and compared to SiC etch stop layer (k=4.5), with the aim to investigate etch mechanisms. We used a 13.56 MHz Inductively Coupled Plasma source mounted above a diffusion chamber where the substrate is biased separately. Etch rates are measured in real time by in-situ multi-wavelength ellipsometry. Surface composition is analysed by quasi in-situ XPS. Langmuir probe is used in order to determine plasma densities, ion flux, and electronic distribution. Mass spectrometer and optical emission spectroscopy measurements are carried out to obtain chemical composition of the plasma. Etching has been performed in C2F6 in mixture with H2, Ar, N2, or O2. According to these results, we studied thoroughly the mixture C2F6/H2 (25%/75%), which gives the best compromise between selectivity and etch rate. For all materials and conditions, the etch rate and the atomic F concentration are strongly correlated. On another hand, XPS shows that CFx species, when present, play an important role on the etching mechanism of SiC and SiOC. Conclusion is that selective etching requires to control precisely the formation of the passivation layer.
9:20 AM PS2-ThM-4 Low Damage Low-k Film Etching using Advanced Neutral Beams
H. Ohtake, N. Inoue, T. Ozaki, S. Samukawa (Tohoku University, Japan)
Low damage processes on the porous MSQ by using neutral beam were investigated. The porous MSQ is very vulnerable to the plasma exposure. Especially, the methyl is drawn out from the porous MSQ during the ashing process, which causes the increase of dielectric constant. In this paper, we investigated the application of the neutral beams to the ashing processes without the effect of ions and photons. In oxygen beam, we observed the increase of the dielectric constant of low-k film as much as that in the oxygen plasma. However, when we used the hydrogen beam, the MSQ dielectric constant did not change while it increased in the case of hydrogen plasma. According to the XPS analysis, it was found that the modified layer of carbon hydride is generated on the surface of porous MSQ by hydrogen beam exposure. This modified layer is thought to prevent the methyl from being drawn out from the porous MSQ. On the other hand, in the hydrogen plasma, it is thought that the generation of this modified layer is restricted by the photons or the ions. In addition, when we used the gas mixture beam of hydrogen and nitrogen, the modified layer of carbon nitride is generated on the surface of MSQ. This layer also prevents the methyl extraction from the porous MSQ. Accordingly, the low damage ashing-processes can be achieved by using hydrogen or hydrogen- nitrogen beam because of the generation of the modified layer.
9:40 AM PS2-ThM-5 Control of Degradation Thickness on SiOCH Surface
T. Tatsumi, T. Saitoh, A. Ando, K. Nagahata, Y. Morita (Sony Corporation, Japan)
We investigated ashing technologies for low-k/Cu integration. SiOCH film oxidizes easily forming a degradation layer that must be minimized to suppress the CD variations during wet treatment. We used an ashing system using ICP and evaluated the ashing rate, residue, and the thickness of the damaged layer (Td) on the side-wall of the SiOCH via hole. When we used H-based plasma for ashing, Td was relatively thin. However, it was difficult to maintain a high etch rate and to remove the residue completely. Hence, we had to use O-based gas chemistry in mass production. Using O2 plasma, Td depended on (a) the O radical density, (b) the thickness of the C-F polymer formed on the sidewall during via etching, and (c) wafer temperature. The density of O radical depended on the partial pressure of O2 and dissociation degree of O2, which could be related to the number of collision with electrons: Ne<σv>, where Ne, σ, and v were electron density, collision cross-section for dissociation, and electron energy, respectively. We estimated Ne<σv> from the intensity of the optical emission of Ar (750 nm, IAr=NArNe<σv>) and we found that the ashing rate, as well as the thickness of the damaged layer under various conditions, clearly depended on the "partial pressure of O2" x "IAr/NAr". When we minimized the radical density by using low density (< 6 x 10 cm-3 ) and low pressure (< 3Pa) conditions, the thickness of the damaged layer could be suppressed below 10 nm while the ashing rate was higher than 500 nm/min with no residue. To fabricate reliable Cu interconnects, we not only need to etch various low-k materials, but also quantitatively control the degradation of these materials.
10:00 AM PS2-ThM-6 Three-dimensional Feature Profile Evolution during Etching of Porous Dielectric Materials
Y.H. Im, M.O. Bloomfield, T.S. Cale (Rensselaer Polytechnic Institute)
Porous materials are being widely investigated for use as low dielectric constant materials for state-of-the-art integrated circuit (IC) interconnects. The patterning of these materials is one of the current challenges to be overcome for application to next generation ICs. Although conventional etching technologies can be used for this purpose, one of the barriers in adapting them to these materials is the lack of the fundamental understanding of how the complicated etching mechanisms interact with the inherently 3D structure of porous materials. It is desirable to employ 3D computer simulations to answer questions that cannot be addressed by 2D simulators. We present a fully 3D simulation study of feature topography evolution under various etching processes. As a part of this effort, we have used the parallel levelset environment for nanoscale topography evolution (PLENTE) to track the evolution of systems in 3D. PLENTE is used with the ballistic transport and reaction based process simulator EVOLVE, which in turn uses a 3D Monte Carlo view factor code, to predict the fluxes and coverages of chemical species on the evolving substrate. We compare the shape of etched feature profiles to experimental data for porous dielectric materials. Etch rate and feature profile evolution were examined as functions of process conditions and porous material properties such as porosity and average pore size. This simulation technique is designed to help process engineers understand new phenomena observed in etching of porous dielectric materials and smooth the adaptation of conventional etching recipes to porous substrates.
10:20 AM PS2-ThM-7 Etching of a Porous SiOC with Varied Porosity in Fluorocarbon Based-plasma
N. Posseme (STMicroelectonics, France); T. Chevolleau, L. Vallier, O. Joubert (CNRS/LTM, France); I. Thomas-Boutherin (STMicroelectronics, France)
In CMOS technology, the traditional SiO2 is being replaced by Low-k materials in order to reduce the total resistance capacitance delay in the interconnect levels. Before Low-k materials can be implemented successfully, many problems must be solved such as the materials stability during etch and stripping processes. This work focuses on the etching of porous methylsilsesquioxane (MSQ) materials (spin on SiOC, k = 2.2) with different porosity ( 30%, 40% and 50%) in fluorocarbon based plasmas (CF4/Ar). The surface and bulk modification after partial etching is studied using different surface analysis techniques such as quasi in-situ X-Ray Photoelectron Spectroscopy (XPS), Infrared Spectroscopy (FTIR), mercury probe capacitance measurement (C-V) and spectroscopic ellipsometry. The etching of these materials is performed on blanket wafers in a Magnetically Enhanced Reactive Ion Etcher. Similarly to non porous SiOC materials, a decrease in etch rate of porous SiOC films is observed with either increasing Ar dilution or polymerizing gas addition (CH2F2) leading in this last case to an etch stop phenomenon. The etch rate increases with higher porosity in the SiOC film, since less material per unit thickness need to be removed as the porosity increases. After partial etching, FTIR analysis indicate that the remaining film is altered by the direct impact of ion bombardment that induces a physical degradation of porous SiOC. This film modification increases with the amount of porosity in the film. The XPS results indicate that the interaction layer formed at the film surface has almost the same composition whatever the porosity of the films studied. Complementary study, from angle resolved XPS analyses reveals also that the C and F atoms diffuse inside the pores of the different films and induce bulk modification observed on FTIR spectra. XPS analysis are also conducted on the porous SiOC sidewalls using the chemical topography analysis technique.
10:40 AM PS2-ThM-8 In-situ Real-time Monitoring of Profile Evolution During Plasma Etching
H. Gerung, C.J. Brinker, S.R.J. Brueck, S.M. Han (University of New Mexico)
We have employed attenuated total reflection Fourier transforms infrared spectroscopy (ATR-FTIRS) to monitor profile evolution during etching of mesoporous low-k SiO2 film in-situ and real time. The porous SiO2 films, stacked with anti reflective coating (ARC) and patterned photoresist, are etched in an inductively coupled plasma reactor, using CHF3 and Ar. During etching, the integrated IR absorbance by Si-O-Si asymmetric stretching modes near 1080 cm-1 decreases, and the rate of decrease in integrated Si-O-Si absorbance translates to the SiO2 removal rate. When corrected for the exponentially decaying evanescent electric field, the removal rate helps monitor the evolution of the etch profile in real time. We have extended this technique to etching Ge. The results from Ge etching will be also discussed.
11:00 AM PS2-ThM-9 Critical Issues in Dual Damascene Etch
M. Hussein, M. Heckscher, S. Suri (Intel Corporation)
This work examines the critical etch issues facing dual damascene integration scheme for the 90nm technology node and beyond. Emphasis will be placed on selectivity needs during via and etch stop layer etch, in particular. We investigated etching chemistries containing fluorine, and fluorocarbon-generating radicals using 300mm wafer size substrate. We will present and discuss the impact of chemistry and etching system configuration on etch selectivity during via and etch stop layer etch. Attaining a manufacturable level of selectivity between silicon-based low-k ILDs and advanced etch stop layers, in spite of the similarities in composition and characteristics of these materials, is shown to be quite challenging.
11:20 AM PS2-ThM-10 In-Situ Etch-Stop Etch for Cu/Low-k Damascene Etch Applications
P. Jiang, R. Kraft, E. Burke (Texas Instruments)
An in-situ plasma etch process was developed for the 90nm technology in which damascene structures (vias or trenches) and their etch-stop layers are etched in a single chamber. The in-situ process sequence includes BARC opening, low-k dielectric (OSG) etch with high selectivity to etch-stop layer (SiC), and SiC etch-stop removal. This process has provided significant cost reduction and productivity benefits to the Cu single damascene integration schemes, due to fewer process steps and higher process yield. Preserving feature CDs and etch profiles for low-k dielectric etches with 193nm lithography is particularly challenging due to the poor etch resistance of 193nm resists and small CDs (<150nm). The in-situ process has reduced via etch CD bias by ~15nm as compared to the conventional ex-situ etch-stop etch. It has also eliminated via profile bowing induced typically by ex-situ etch-stop etch and post-etch cleans, and increased the selectivity of SiC to OSG by ~80% in the etch-stop etch step. More importantly, equivalent or better via yield was achieved with smaller CDs using the in-situ process, due to improved profiles. In this paper, we will discuss the development of in-situ etch-stop etch process for single damascene via etch. The detailed results about the process, and its impact on process and electrical performance will be reported.
11:40 AM PS2-ThM-11 Study of CO addition to C4F8 or C4F8/Ar Plasmas for Selective Etching of Organosilicate Glass (OSG) over SiC
L. Ling, G.S. Oehrlein, X. Hua, X. Li (University of Maryland, College Park); F.G. Celii, K.H.R. Kirmse, P. Jiang (Texas Instruments)
We have examined the effect of CO addition to C4F8 or C4F8/Ar plasmas for selective etching of organosilicate glass over SiC etch stop layers. The variation of important gas phase species, thin film etching rates and surface chemistry with feedgas composition was determined. CO addition exhibits dramatically different consequences on OSG/SiC etching selectivity when added to either C4F8 or C4F8/Ar plasmas containing a high proportion of Ar (greater 80%). An improvement of the OSG/SiC etching selectivity results from CO addition to C4F8. Our data indicate little CO dissociation in this case, which is plausible considering the lower dissociation energy threshold of C4F8 relative to CO. X-ray photoelectron spectroscopy (XPS) analysis of OSG and SiC surfaces shows that the etching selectivity improvement for C4F8/CO may be explained by some incorporation of CO into deposited fluorocarbon films, an increase of the thickness and a reduction of the F/C ratio of the steady-state fluorocarbon surface layer on the SiC surface during etching. Adding CO to C4F8/90%Ar discharges leads to a reduction of the OSG/SiC etching selectivity. Significant dissociation of CO in Ar-rich C4F8/Ar/CO discharges is observed, consistent with the fact that the dissociation energy threshold of CO is lower than the Ar ionization and metastable energies. Oxygen incorporation in deposited fluorocarbon films and a reduction of the steady-state fluorocarbon surface layer thickness on SiC are observed by XPS in this case, explaining the loss of OSG/SiC etching selectivity for C4F8/Ar/CO discharges.
Time Period ThM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2003 Schedule