AVS2003 Session PS-FrM: Plasma-Surface Interactions: Etching

Friday, November 7, 2003 8:20 AM in Room 315

Friday Morning

Time Period FrM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2003 Schedule

Start Invited? Item
8:20 AM PS-FrM-1 Multidimensional Plasma Sheaths and Resulting Ion/Fast Neutral Distributions on the Substrate Surface
D.J. Economou, D. Kim (University of Houston)
Multidimensional plasma sheaths are encountered in diverse processes including plasma immersion ion implantation, extraction of ions (or plasma) through grids, MEMS fabrication, neutral beam sources, and plasma contact with internal reactor parts (e.g., wafer chuck edge). The sheath may become multidimensional when: (a) plasma is in contact with surface topography, and the size of the topographical features is comparable to the plasma sheath thickness, or (b) the surface is flat but inhomogeneous, i.e., a conducting surface next to an insulating surface. In either case, the flux, energy and angular distributions of energetic species incident on the substrate are of primary importance. These quantities depend critically on the shape of the meniscus (plasma-sheath boundary) formed over the surface. A two-dimensional fluid/Monte Carlo simulation model was developed to study multidimensional sheaths. The radio frequency (RF) sheath potential evolution, and ion density and flux profiles over the surface were predicted with a self-consistent fluid simulation. The trajectories of ions and energetic neutrals (resulting by ion neutralization on surfaces or charge exchange collisions in the gas phase) were then followed with a Monte Carlo simulation. Ion flow and energy and angular distributions of ions and energetic neutrals bombarding a surface with a trench will be reported in detail and compared with experimental data. Results for a flat but inhomogeneous surface will also be reported.

Work supported by the National Institute of Standards and Technology, National Science Foundation and Sandia National Laboratories.

8:40 AM PS-FrM-2 Study of Gas Phase Fluorocarbon Chemistries in a Modified Gaseous Electronics Conference Plasma Reactor Using Fourier Transform Infrared Spectroscopy and Ellipsometry
B. Zhou, E.A. Joseph, S.P. Sant, L.J. Overzet, M.J. Goeckner (University of Texas at Dallas)
Fluorocarbon chemistries of CF4 plasmas during dry etching are studied in the modified Gaseous Electronics Conference (mGEC) Reference cell, using Fourier Transform Infrared (FTIR) spectroscopy. These measurements are enhanced by the use of a multi-pass White cell with capabilities up to 40 passes. The flexible design of the mGEC reactor allows us to study the effect of the dimensions, materials and wall conditions of a plasma reactor on the gas phase and surface phase chemistries, as well as the interactions between them. Three sets of inner walls with diameters of 20.3, 40.6, and 61 cm are used and the wall temperature can be raised above 100°C. The gap between the quartz window and chuck can be varied from 2.7cm to 18 cm. For a 5 cm gap, the IR spectra show that the concentrations of CF2 and CF3 radicals and etch products such as SiF4 and COF2, are strongly dependent upon the bias voltage. The concentration of CF2 radicals is raised by about an order of magnitude to 1013 cm-3 when the chuck self-bias voltage is changed from 0 to -40V. In addition, the concentration ratio of CF2 to CF3 increases as the bias voltage is made more negative due to an increased etch rate and increased consumption of F atoms. These gas phase measurements will also be compared with etch rate measurements using in-situ spectroscopic ellipsometry. Finally, the experimental data will be compared with simulation results using HPEM.1 This work is supported by a grant from NSF/DOE, CTS-0078669.


1 D. Zhang and M. J. Kushner, "Surface Kinetics and Plasma Equipment Model for Si Etching by Fluorocarbon Plasmas", J. Appl. Phys. 87, 1060 (2000).

9:00 AM PS-FrM-3 Measurement and Modeling of Plasma Feature Etching
H.H. Sawin (Massachusetts Institute of Technology)
This paper reviews the progress in the understanding of plasma surface interactions. The understanding of the surface kinetics of plasma surface interactions is critical in the efficient development of plasma processes. Our fundamental understanding of plasma-surface interactions has in large part been based on beam experiments in which the flux from a plasma process is synthesized by a combination of beams. The use of beams rather than a plasma source allows the independent control of the beam fluxes. The measurement of polysilicon etching in fluorine and chlorine plasmas has been particularly successful because of its relatively simple discharge chemistry; however, the inclusion of product and polymer deposition during the etching process has typically not been included in kinetic models. The etching of dielectric materials with fluorocarbon gases is much more complex because of the large number of ions and neutral species that must be considered. The use of beams generated from complex plasmas that produce multiple products can be used to better represent the fluxes; however, these studies sacrifice some independence in the control of the fluxes. Based on the kinetic measurements and kinetic models developed from the beam studies, feature profile evolution has been modeled using several approaches, especially Monte Carlo techniques that allow the inclusion of all known kinetics. Deposition processes in which the surface is covered with a material of a single composition is particularly easy as the surface chemistry is does not vary with time or feature position. In etching processes, the surface kinetics are known to be a function of the composition of the feature position and is more complicated since the surface composition varies. In addition, for the deposition of “polymer film” onto the surface during etching to be included for direction etching processes, the polymer film thickness and composition must be tracked as the simulation proceeds.
9:40 AM PS-FrM-5 The Influence of Ion Implantation on the Poisoning Mechanism During Reactive Magnetron Sputtering
D. Depla, R. De Gryse (University Ghent, Belgium)
During reactive sputter deposition, the interaction of the plasma with the target surface strongly influences the deposition process and the deposited layer characteristics. Besides chemisorption of the active species on the target surface, reactive ions become implanted in the target subsurface region. An analytical model is proposed describing the effect of ion implantation on the poisoning mechanism during reactive magnetron sputtering. We assume that the target can be described by a mixture of the original target material and the compound material formed by reactive ion implantation. The target is subdivided into three regions :i) the surface region, ii) the subsurface region and iii) the bulk region. The sputter removal of the compound material from the target surface region is balanced by the compound formation by reactive ion implantation in the subsurface and bulk region. The steady-state solution shows a small but abrupt change of the deposition rate. The abrupt change in deposition rate is accompanied by a much larger abrupt change of the target condition in the subsurface region. Moreover, a narrow hysteresis region is found. Several experimental results can be easily explained from this analytical model. As this analytical approach neglects several aspects of the sputtering process, e.g. knock-on effects, recoil mixing, range shortening, we have also simulated this process using TRIDYN. More specific, the influence of reactive ion implantation during the reactive sputtering of Al in Ar/O2 is simulated.1 The results of these simulations confirm not only the basic ideas described by the analytical model, but a quite good agreement between both models is found.


1Z.Y. Chen, A. Bogaerts, D. Depla, I. Ignatova, Nucl. Instr. And Meth. B, accepted for publication.

10:00 AM PS-FrM-6 The Role of Chamber Dimension in Fluorocarbon Etching of SiO2 and its Effects on Gas and Surface-Phase Chemistry
E.A. Joseph, B. Zhou, S.P. Sant, L.J. Overzet, M.J. Goeckner (University of Texas - Dallas); B.E. Gnade (University of North Texas)
The influence of plasma-wall interactions in a CF4 discharge and their symbiotic effect on processing of SiO2 has been explored as a function of chamber dimension using a modified gaseous electronics conference (mGEC) reference cell. By varying chamber wall diameter, 20-66 cm, and source-platen distance, 4 - 6 cm, the etch behavior of SiO2 and the resulting gas-phase chemistry change significantly. Results from in-situ spectroscopic ellipsometry show significant differences in etch characteristics, with etch rates as high as 700nm/min and as low as 150nm/min for the same self-bias voltage. Etch yields however remain unaffected by the chamber size variations. Fluorocarbon deposition rates are also highly dependent on chamber dimension and vary from no net deposition to deposition rates as high as 450 nm/min. Significant shifts in gas-phase properties such as electron density and electron temperature, as determined by Langmuir probe, are also measured while gas-phase in-situ multi-pass Fourier Transform Infra-Red spectroscopy (FTIR) is used to corrleate CF2, CF3 and CF4 gas-phase densities to CFx overlayer thickness and stoichiometry measured by x-ray photoelectron spectroscopy (XPS) and grazing angle total internal reflection (GATIR-FTIR).

This work is supported by a grant from NSF / DOE, CTS-0078669.

10:20 AM PS-FrM-7 Molecular Dynamics Simulations of Silicon in Fluorocarbon Plasmas: Role of the Fluorocarbon Film as an Etchant Source
D. Humbird, D.B. Graves (University of California at Berkeley); X. Hua, G.S. Oehrlein (University of Maryland, College Park)
We use MD simulations to examine fluorocarbon (FC) ions and radicals impacting Si and compare these simulations to new experimental results. During FC plasma etching of Si, Oehrlein and coworkers observe changes in surface chemistry as ion energy is increased above the threshold necessary for etching, and/or when a large fraction of the impinging ions are Ar+. The F/C ratio of the film decreases and Si-C, C-C, and Si-F bonds all increase in number with the onset of etching. These results were interpreted to mean that F is driven from the FC film into the underlying Si, creating etch products. In simulations of Si etching with CFx+ species with and without Ar+, we observe a change in the composition of the FC film as the ion energy increases from a depositing to an etching level. The FC film formed at lower energy is comprised almost entirely of C-Fx groups. At higher energy (>50 eV), Si etching commences, C-Fx groups are greatly reduced, and SiFx bonds form. The FC film becomes stratified, with Si-C at the surface of the film, and Si-F (the etch precursor) underneath. These results are in excellent agreement with XPS measurements of Si samples etched by FC plasmas. Oehrlein and co-workers concluded from their measurements that the FC film contains the etchant F. The simulations confirm that F can reach the Si by way of the FC film. We identify the mechanisms for ion-induced redistribution of F between the FC film and substrate.
10:40 AM PS-FrM-8 Surface Kinetics Study of Silicon Oxide Etching with Fluorocarbons Plasmas
O Kwon, H.H. Sawin (Massachusetts Institute of Technology)
Fluorocarbon plasma for silicon oxide etching is a complicated system involving many ion and neutral species. Depending on the plasma condition, many difficulties arise such as RIE lag, etch stop, and low selectivity to photoresist. For a better understanding of the process it is necessary to have an appropriate physical model to describe the surface kinetics including simultaneous etching and deposition. We developed a surface kinetic model using ABACUSS II, a modeling environment and simulator. In the modeling we included the effect of both neutral and ion fluxes to the surface, sticking probabilities, surface composition, sputter etching reactions, ion enhanced chemical etching reactions and neutral-to-ion flux ratio. We demonstrated this model by applying it to various systems such as silicon etching with chlorine/fluorine plasma, silicon oxide etching with chlorine/fluorine plasma and silicon oxide etching with fluorocarbon plasma. This model was verified using measured etching yield data determined by quartz crystal microbalance (QCM) in conjunction with plasma neutral and ion concentrations/fluxes determined by mass spectrometry.
11:00 AM PS-FrM-9 Integrated Modeling of Etching, Cleaning and Barrier Coating PVD for Porous and Conventional SiO2 for Fluorocarbon Based Chemistries1
A. Sankaran, M.J. Kushner (University of Illinois at Urbana-Champaign)
The modeling of process integration of advanced materials for interconnect wiring can provide insights to methods to optimize the process. This is particularly true for nontraditional materials, such as porous silica. In this work we discuss the modeling of the process integration steps of etch, clean and barrier coating for porous SiO2 using a feature profile simulator coupled to a plasma equipment model. Results will be discussed for ICP and MERIE reactors for etching of conventional and porous SiO2 for C2F6, CHF3 and C4F8 in mixtures with Ar and O2. The etch step is followed by the stripping of the residual fluorocarbon polymer layer and of the photoresist. The cleaned features then receive a barrier coating by IMPVD. Etch rates and profiles for interconnected and closed pore networks will be presented. In general, larger molecular weight fluorocarbon gases produce more polymerizing fluxes to the substrate leading to thicker polymer films and hence slower etch rates. Polymer build-up due to opening of large pores and interconnected pore networks leads to slower etching. Increasing O2 during the etch step reduces polymer buildup but also erodes the photoresist, resulting in less taper (possibly bowing) due to the broader view angles of the incident ion fluxes. Removal of polymer from the pores during the clean step, particularly when interconnected, is problematic. Conformal metal films (for the barrier layer) on porous substrates are more difficult to achieve for larger pores and higher interconnectivities due to shadowing of ion fluxes caused by the complex pore morphology.


1
1 Work supported by Semiconductor Research Corporation SEMATECH and National Science Foundation.

11:20 AM PS-FrM-10 Analysis of ILD Sidewall Damage during Photoresist Removal Post Single and Dual Damascene Processing
N.C.M. Fuller, T.J. Dalton, M.E. Colburn, S.M. Gates (IBM T.J. Watson Research Center); R. Dellaguardia (IBM Microelectronics Division)
The introduction of CVD and SOD low-κ organosilicate (OSGs) materials for 90 nm and beyond CMOS back end of the line (BEOL) technologies presents several process challenges. One such challenge is the minimization of ILD sidewall damage during photoresist removal post single and dual damascene processing. The determination of the composition, thickness, and probable mechanism of formation of the damaged layer is critical to its control, prevention, and/or removal and, thus, device performance, functionality, and reliability. To these ends, experimental measurements including XPS and TEM/EELS were performed to characterize the damaged layer formed on an OSG and a porous OSG material exposed to various strip chemistries in a commercial plasma etching tool. These results will be presented.
Time Period FrM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2003 Schedule