AVS2003 Session PS-WeP: Poster Session

Wednesday, November 5, 2003 11:00 AM in Room Hall A-C

Wednesday Morning

Time Period WeP Sessions | Topic PS Sessions | Time Periods | Topics | AVS2003 Schedule

PS-WeP-1 Experiemental Study of Real-Time Feedback Control of Ion Energy and Ion flux in Poly-Si Etch Process Using High Density Cl2 Plasmas
K.C. Leou, C.H. Chang, C. Lin (National Tsing Hua University, ROC)
In this study, we have demonstrated experimentally the real-time closed-loop control of both ion density and ion energy in a chlorine inductively coupled plasma etcher. To measure positive ion density, the trace rare gases-optical emission spectroscopy (TRG-OES) is used to measure the chlorine positive ion density. An rf voltage probe is adopted to measure the RMS rf voltage on the electrostatic chuck which is linearly dependent on sheath voltage. One actuator is a 13.56 MHz rf generator to drive the inductive coil seated on a ceramic window. The second actuator is also a 13.56 MHz rf generator to power the electrostatic chuck. The closed-loop controller is designed to compensates process drift, process disturbance, and pilot wafer effect and to minimize steady state error of plasma parameters. This controller has been used to control the etch process of unpatterned polysilicon. The experimental results showed that the closed-loop control had a better repeatability of plasma parameters compared with open-loop control. The closed-loop control can eliminate the process disturbance resulting from reflected power. In addition, experimental results also demonstrated that closed-loop control has a better reproducibility in etch rate as compared with open-loop control. Experiment results on SiO2 etch show that real-time feedback control of both ion energy and flux also enhance the process stability of etch selectivity (Poly-Si to Oxide) in addition to the etch rate of poly-Si.


1Work supported by the NSC of the R.O.C., grant No. NSC 90-2622-E-007-004.

PS-WeP-3 Study of the Passivation Mechanisms Involved in the Silicon Deep Etching Cryogenic Process
X. Mellhaoui, R. Dussart, A. Basillais, T. Tillocher, P. Lefaucheux, P. Ranson (GREMI, France)
Silicon etching is perfomed by cryogenic SF6/O2 plasma process. This process allows to obtain a high aspect ratio (depth/width > 10) and a high anisotropy. The plasma is created in an Inductively Coupled Plasma reactor. The silicon wafer is clamped on a chuck cooled with liquid nitrogen and controlled in temperature. A study of passivation mechanisms is necessary to perfectly control this process and to optimize the trench profiles. The passivating layer is a mixture of Si, F, O and S (SiOxFySz). Previous XPS experiments have shown that the passivation layer is removed during the increase of temperature, which proves that the passivation layer is not mainly composed of SiO2. When destroyed, the passivation layer can be rebuilt with SiF4 and O2. This particular experiment was made and has revealed that the presence of sulphur is not necessary to build an efficient passivation layer. Experiments to better understand the passivation layer reconstruction and composition will be presented at the conference. In overpassivating conditions (high O2 flow), black silicon phenomena appear in trench bottom. Roughness and black silicon pattern depend on several parameters (temperature, bias voltage, O2/SF6 ratio). A statistical study made on the black silicon pattern will be also presented.
PS-WeP-4 Etching Characteristics in Novel Internal Linear Inductively Coupled Plasma Antenna for Flat Panel Display Applications
G.Y. Yeom, K.N. Kim, Y.J. Lee (Sung Kyun Kwan University, South Korea); B.U. Cho, J.K. Lee (Pohang University Science and Technology, Korea); M.A. Lieberman (University of California at Berkeley)
The flat panel display (FPD) industry, especially for liquid crystal display (LCD) has been experiencing an impressive growth for the last 10 years, and moving to large generation sizes such a 1200mmX1500mm for reducing manufacturing costs, although third (550mmX650mm) and fourth generation (680mmX880mm) glass substrates are also available. Therefore, large-area plasma sources are needed to meet the plasma processing in display manufacturing plasma processing (PECVD, ETCH, and ASHING) and, to decrease the process time, high density plasma sources are required. In this study, large-area plasmas with inductive coupling of extended internal linear- antennas have been proposed promising candidate for the efficient high-density plasma source. The process chamber was designed as a rectangular mainly for FPD application and was made of stainless steel. The inner size of the chamber was 1020mmX830mm. To improve both the plasma density and the uniformity of internal ICP source, several internal-type linear antenna designs have been employed. In this presentation, the effects of various linear-antenna designs and process conditions on the plasma characteristics, such as plasma species and density, electron temperature, and plasma uniformity in this large area plasma source were investigated using a quadrupole masss spectrometer (QMS: Hidern Analytical Inc., PSM 500) and a Langmuir probe (Hiden Analytical Inc., ESP) located on the sidewall of the chamber. The results showed a strong relationship between the combination of the antenna configuration and plasma characteristics such as density and uniformity. The etch uniformities of SiO2 etched using C4F8(NF3)/He/O2 gas mixtures showed the similar trend as that of Ar+ ion density.
PS-WeP-6 Inductively Coupled Plasmas in Cl2/O2 Mixtures: Modeling and Experiment
A.M. Efremov (Ivanovo State University of Chemistry and Technology, Russia); C.I. Kim, D.P. Kim (Chung-Ang University, Korea)
Microwave thin films resonators have been integrated with complex perovskite materials Ba(Mg1/3Ta2/3)O3 (BMT) and Ba(Zn1/3Ta2/3)O3 (BZT), which are very perspective materials due to excellent microwave properties. However, plasma etching in Cl-base gases is obstructed by two main problems. First problem is low etching rate due to low-volatility of metal-chlorides, which can be cleaned by strong ion bombardment. But, it is undesirable to avoid defects in structure. Second problem is the deviationsof stoichiometry on the surface after the etching due to various partial etching yields. The origins of these problems are also caused by low and different volatilities of reaction products. Therefore improvement of BMT and BZT etching technology should follow by the way of improvement of efficiency of chemical mechanism through the increasing of etching products volatility. In this way, Cl2/O2 mixture is very promising environment. The reason is that the formation of high-volatile metal-oxy-chlorides (M-ClO) is expected. We investigated plasma characteristics, plasma mass content and kinetic dependencies of both neutral and charged particle formation and decay in Cl2/O2 gas mixture. For these purposes we used a combination of experimental methods (OES, Langmuir probe, QMS) and a plasma modeling on the base of self-consistent solution of Boltzmann kinetic equation together with balance kinetic equations for neutral and charged particles in a quasi-stationary approximation. It was found that the change of O2/(Cl2+O2) mixing ratio from 0 to 100% leads to an increase of electron average energy and electron energy distribution function deformation. The main mechanisms of Cl and O atom formation are the direct electron impact dissociation of corresponding molecules while the contribution of all possible secondary processes is not significant in the case of a relatively low O2 addition.
PS-WeP-8 Atomic Layer Etching of Silicon using a Low Angle Forward Reflected Ar Neutral Beam
S.D. Park, D.H. Lee, G.Y. Yeom (Sungkyunkwan University, Korea)
Atomic layer etching (ALE) is one of the important technologies for the fabrication of future nano-scale devices, because current dry etching techniques are not capable of etching with atomic layer resolution because of their high etch rates. In addition, relatively high energy of the ions can damage the crystal surface. Therefore, many studies on ALE of Si have been reported in recent years to develop a technique to etch materials layer-by-layer. But, these previous methods may show charging damage due to the charged particles such as positive ions and photons generated in the plasma. Therefore, in this study, ALE of Si was carried out using a sequential Cl2 adsorption and an Ar neutral beam irradiation instead of ion beam. Low energy Ar neutral beam was generated by a low-angle forward reflected neutral beam technique. ALE of Si is a cyclic process consisting of 4 steps: (1) adsorption of Cl2 on Si surface, (2) evacuation, (3) Ar neutral beam irradiation to the surface, (4) evacuation of etch products. The etch process parameters for optimizing the atomic layer etching of Si are Cl2 gas exposure time, Ar neutral beam irradiation time, Ar neutral beam energy, etc. It is expected that the atomic layer etching of Si should be limited to 0.68 nm per cycle, which corresponds to the half mono-layer thickness of Si. The resulting step height and surface damage was estimated using transmission electron microscopy (TEM). The step height divided by the total number of ALE cycles yielded the etch rate per cycle. A scanning electron microscope (SEM) was used to observe as-etched Si profiles and an atomic force microscope (AFM) was used to analyze the surface topography.
PS-WeP-9 Molecular Beam Mass Spectrometry of the Microwave Discharge in Methane/Argon Gas Mixture
M. Misina, P. Pokorny (Institute of Physics ASCR, Czech Republic)
Mass spectrometry (MS) of the neutrals in a processing plasma requires extraction of a gas sample through an orifice, ionization, mass separation and detection. Radicals are detected by the appearance potential MS (APMS).1 APMS relies on the difference in the electron energy threshold for ionization of a radical by a simple electron impact ionization and for production of an fragment ion from a molecule by dissociative ionization. However, the absolute measurement of the radical density is complicated by the interaction of the radicals with the walls during the transport from the extraction orifice to the ionization source. This is especially true for species with a high sticking coefficient. Therefore, the molecular beam MS (MBMS) was developed.2 MBMS includes more stages of differential pumping with several orifices by which a beam of neutrals from the plasma is extracted into the ionization chamber of a MS. In this paper the concentration of radicals and the composition of the neutral gas in the microwave electron-cyclotron-resonance methane/argon plasma for DLC film deposition was measured by APMS and MBMS for a range of process parameters such as microwave power, working gas composition and total pressure. The total pressure in the experiment ranged from 0.1 to 1 Pa. The microwave power up to 800 W was used. The most abundant radical was methyl with a concentration of in the range of the order of 1012 cm-3. A high degree of dissociation and consumption of the methane in the ECR discharge was observed. In fact, the hydrogen dissociated from the methane was the dominant component of the working gas at higher microwave powers.


1 P. Kae-Nune, J. Perrin, J. Guillon, J. Jolly, Plasma Sources Sci. Technol. 4 (1995) 250-259.
2 H. Singh, J. W. Coburn, D. B. Graves, J. Vac. Sci. Technol. A 17(5) (1999) 2447-2455.

PS-WeP-10 Spatio-temporal Characterization of Pulsed, Electron Beam Produced Plasmas
S.G. Walton, D. Leonhardt, C. Muratore, D.D. Blackwell, R.F. Fernsler, R.A. Meger (Naval Research Laboratory)
In plasma-based materials modification, regulating the flux of ion, neutral, and radical species at the substrate surface is a critical component of process control. The plasma density determines the flux and the electron temperature influences the energy of these species and so both can be used to regulate reactive species at the substrate. Modulated plasma production as well as remote plasma sources are often employed to control the relative ion flux and energy through temporal or spatial variations in the bulk plasma. In this paper, spatio-temporal characterizations of pulsed, electron beam-generated plasmas will be presented. Mass and time-resolved measurements of ion fluxes and energy distributions are presented and correlated to measurements of the plasma density and electron temperature. Previous work has shown that energetic electron beams are efficient at producing high-density plasmas (ne > 1011 cm-3) with low electron temperatures (Te < 1.0 eV) over the volume of the beam. Outside the beam, ion-neutral and electron-ion interactions alter the ion densities and flux. Temporal variations in the density, electron temperature, and flux have been observed during all phases of pulsed plasma production. Measurements are presented for a range of operating pressures, pulse widths, duty factors, and electron beam-to-electrode distances for plasmas produced in argon, nitrogen, and oxygen. The results are used to identify methods by which the ion fluxes and energies can be controlled. This work supported by the Office of Naval Research.

Muratore, C., ASEE/NRL Postdoctoral Research Associate; Blackwell, D.D., SFA Inc., Largo, MD.

PS-WeP-11 Experimental Characterization of a Pulsed Inductively Coupled Plasma
C.H. Chang, K.C. Leou, S.J. Wu, M.L. Gong, T.L. Lin (National Tsing Hua University, ROC)
The basic properties of a pulsed low pressure inductively-coupled plasma has been characterized by using various diagnostic tools, including a RF impedance meter, a Langmuir probe, a 36 GHz interferometer and optical emission spectroscopy. These tools have been modified from conventional ones to measure time resolved properties of the discharge. In addition to plasma density, plasma potential and electron temperature, the Langmuir probe has also been used to extract the electron energy probability function (EEPF) of the plasma. Measurement results show that high energy (roughly E > 10 eV) electrons are lost quickly after the driving RF power is turned off. The low energy part of the electrons remains nearly unchanged during the entire off period. The electron temperature thus drops quickly while plasma density changes little during RF off period as observed in other studies. Spatial-temporal behaviors of plasma density have also been measured. The radial distribution of plasma density only change slightly at different times of the RF on or off periods although the overall plasma density varies significantly. The electric properties of the discharge was measured by a home made impedance meter which detects the time varying amplitude and phase of the RF voltage and current, and thus net input RF power and complex impedance of the discharge. For different waveforms of modulation, such as square, triangular, sinusoidal and trapezoidal, impedance meter measurements show that, when the RF power is turned on, there is always a transient surge of RF voltage and current on the inductive coil, thus the net input power into the plasma. The temporal profiles of electric properties, however, do not vary significanly for different types of modulations. Comparison of probe and impedance meter measurements to interferometer and OES measurements will also be presented.


1Work supported by the NSC of the R.O.C., grant No. NSC 90-2622-E-007-004.

PS-WeP-12 Effect of Gas Heating in a High Density Pulsed Plasma Discharge
D.J. Economou, S.K. Nam (University of Houston)
Owing to their importance, power-modulated plasmas have been studied both experimentally and computationally. However, the effect of gas heating in pulsed discharges has not been studied in detail. In contrast, there are numerous studies of gas heating in continuous wave discharges. A two-dimensional self-consistent model and simulation tool were developed to study the spatio-temporal dynamics of a pulsed power (square-wave modulated) inductively coupled argon discharge, with emphasis on gas heating effects. The coupled equations for plasma power deposition, electron temperature, charged and neutral species densities, and gas temperature were solved to obtain the space-time evolution of the discharge in a Gaseous Electronics Conference (GEC) ICP reference cell. The effect of control parameters such as power, duty ratio, pressure, and pulse frequency on the evolution of discharge properties (electron density, electron temperature, gas temperature) was investigated. Simulation results on discharge properties were in good agreement with available experimental data.

Work supported by the National Science Foundation.

PS-WeP-13 A Model of Feature Profile Evolution for Nanometer-Scale Control of Etched Profiles and Critical Dimensions
K. Ono, Y. Osano, A. Sano, K. Takahashi, Y. Setsuhara (Kyoto University, Japan)
As integrated circuit device dimensions continue to be scaled down, increasingly strict requirements are being imposed on plasma etching technology. The precise control of etched profiles and critical dimensions (CDs) is still one of the most important issues to be addressed, particularly in gate etch processes. In developing the technology to meet these demands, the modeling or simulation is an attractive approach, which significantly contributes to optimize complex processes in the fabrication of microelectronic devices. This paper presents a model of the feature profile evolution for nanometer-scale control of the profile and CD during etching of poly-Si gate electrodes in high-density chlorine- and bromine-containing plasmas. The model employs a full matrix approach with the volume density function in the entire computational domain for the materials being etched. This approach enables us to take into account surface reaction processes of enormous complexity that would occur during etching, particularly multilayer adsorption or reaction kinetics on feature surfaces, which the usual string algorithm with Langmuir adsorption scheme is hard to deal with. The model includes the transport and surface reaction kinetics of ions and neutrals in microstructures, based on our present understanding: neutral adsorption, geometrical shadowing, surface reemission or reflection of ions and neutrals, localized charging of feature surfaces, purely chemical etching, physical sputtering, ion-assisted reactions, and surface inhibitor deposition. The numerical results indicated that a thin passivation layer of surface inhibitors on feature sidewalls, surface temperature, and charging of mask layers play a key role in achieving the nanometer-scale control in gate etch processes.
PS-WeP-14 Selected Modifications of PE and PTFE Surfaces by Means of a Modified RF N2 Plasma
N. Vandencasteele, F. Reniers (Universite Libre de Bruxelles, Belgium)
Plasma techniques are often used to modify polymer surfaces. However, due to the great variety of the species created in a plasma (neutrals, ions, electrons), the resulting surface modification is often poorly controlled, and the mechanisms of surface reaction poorly understood. In order to try to better control and undersand these processes, we have modified the geometry of a RF plasma chamber in order to be able to filter the species reaching the surface, allowing only the neutrals and the electrons to reach the surface, depending on the electrode bias. The selected effect of the nitrogen ions was the subject of another study.1 PE and PTFE surfaces have been exposed to this modified N2 RF plasma. The plasma was characterized by optical emission spectrometry. A variation of the densities of the active species relative to the cathode position is evidenced. The samples were afterwards characterized by XPS, water contact angle measurements and AFM (for surface roughness). A strong correlation between the surface energy, the nitrogen concentration on the surface, the plasma characteristics (DC-bias and RF power) and the treatment time is shown. A deconvolution of the C1s and N1s peaks show a progressive functionalisation sequence of the surface. The effect of the polarity of the native bonds (C-H in PE and C-F in PTFE) on this sequence is studied.


1A. Wagner, D.H. Fairbrother, F. Reniers, Plasma and Polymers 8 (2003) 119-134.

PS-WeP-15 Principle of a Beam Profile Controlled Linear Ion Beam Source and Application Examples
M. Nestler, D. Roth (Roth & Rau AG, Germany); F Scholze, M. Tartz (Institut für Oberflächenmodifizierung Leipzig e.V., Germany); M. Zeuner (Ion+Tech GmbH, Germany); H. Neumann (Institut für Oberflächenmodifizierung Leipzig e.V., Germany)
We present a microwave excited ECR (electron cyclotron resonance) type low energy linear ion beam source with a special grid system for cleaning, surface treatment, etching, figuring, surface modification and thin film deposition. By means of a modular design with basic module length of 400 and 600 mm this source is easily scalable up to some meters for production environment. Fitting this source with a special designed, segmented multi-aperture three grid system and power supplies in combination with a variable electrical switcher, which allows a changing of the pulse length of the accelerator voltage applied on each segment, the profile of this low energy ion beam is adaptable on different process requirements. We discuss the measured beam profiles in correlation to the controlling principles by using inert and reactive gases and draw up the technological possibilities for this new broad beam ion source by means of selected examples. Its integration into an in-line production equipment is demonstrated.
PS-WeP-16 Creation and Characteristics of Miniature Microwave Plasmas
J. Narendra, T.A. Grotjohn, J. Asmussen (Michigan State University)
Small microwave generated plasma discharges are characterized to determine their properties for discharges with sizes ranging from 0.3 mm to 3 mm. The discharge characteristics investigated include microwave power density, plasma density, electron temperature and gas temperature. The outcome of this investigation is an understanding and quantification of the microwave power density needed to operate small discharges of specific sizes, shapes, pressures, and gas compositions. The microwave plasma source technology used in this investigation is a microstripline based plasma source with the discharge created in a quartz tube of 0.3 mm to a few mm in inside diameter. A microstripline is used to couple 2.45 GHz microwave energy into a discharge confined in a tube orientated perpendicular to the stripline conductor. The discharge formed often is a surface wave discharge. The plasma compositions investigated include argon, nitrogen, air, and hydrogen discharges. The pressure range investigated ranged from 100 mTorr to 1 atmosphere. The diagnostic measurements were performed using Langmuir probes and optical emission spectroscopy. The plasma characteristics measured and modeled indicate that as the characteristic dimension of the discharge decreases to less than 1 mm, the power densities approach and exceed 1000 W/cm3 and the plasma densities are above 1013 cm-3. A specific application to be discussed is the plasma-assisted deposition of coatings on the inside of tubes.
PS-WeP-17 Laboratory Exercises for a Technician-Level Course in Plasma-Aided Manufacturing
D.M. Hata (Portland Community College)
Portland Community College, through a grant from the National Science Foundation's Advanced Technological Education Program, has developed educational materials for a technician-level course in plasma-aided manufacturing and has prototyped a suite of supporting laboratory exercises. These educational materials were classroom tested during Winter Term of 2003 in PCC MT 240 RF Plasma Systems course. Thirty-three second-year students used the instructional materials and performed the laboratory exercises. Four faculty enhancement workshops are scheduled during the next year and a half to equip other community college faculty to teach a similar course at their institutions. This paper or poster session will provide an overview of the laboratory exercises developed to date.
Time Period WeP Sessions | Topic PS Sessions | Time Periods | Topics | AVS2003 Schedule