AVS2003 Session PS2-WeM: Etching Difficult Materials

Wednesday, November 5, 2003 8:20 AM in Room 315

Wednesday Morning

Time Period WeM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2003 Schedule

Start Invited? Item
8:20 AM PS2-WeM-1 Ion-enhanced Etching of High-k Dielectric Films with Mass-analyzed Ion Beam Irradiation
K. Karahashi, N. Yamagishi (MIRAI-ASET, Japan); T. Horikawa (MIRAI-ASRC/AIST, Japan); A. Toriumi (MIRAI-ASRC/AIST and University of Tokyo, Japan)
As advanced high-k gate dielectrics are being developed to replace SiO2 in future generations of microelectronics devices, understanding their etch characteristics becomes vital for introducing the materials into the manufacturing process. We report on the interactions of high-k dielectrics, such as HfO2, Al2O3, with ionic species contained in plasma etching environments. To clarify the ion induced reactions in the fluorocarbon plasma, we employed the mass-analyzed ion beam apparatus that can irradiate a single ionic species to sample surfaces under an ultra-high vacuum condition. CF3+, ion is found to chemically etch HfO2 and Al2O3 films, and the etch yield scaled linearly with the square root of ion energy with a threshold energy between 20 - 40 eV. This indicates that the etching reaction is limited by the momentum transfer to the etched film. Etching yields decreased monotonically with decreasing fluorine atoms contained in incident fluorocarbon ions (CFX+, X=1-3). In the case of CF+, ion irradiation, the etching stopped after slightly etching HfO2 films. Then an amorphous fluorinated carbon (a-C:F) film was continuously deposited on the HfO2 surface. Using x-ray photoelectron spectroscopy analysis, it was confirmed that carbon accumulates on the surface at the early stage as CF+ ion dose increases, so that the transition to the a-C:F deposition is caused by surface modification with CF+ ion irradiation. This work was supported by NEDO.
8:40 AM PS2-WeM-2 Etching Characteristics of High-k Dielectric HfO2 Films in Inductively Coupled Fluorine-Containing Plasmas
K. Takahashi, K. Ono, Y. Setsuhara (Kyoto University, Japan)
As integrated circuit device dimensions continue to be scaled down, increasingly strict requirements are being imposed on plasma etching technology. Regarding gate dielectrics, the technological challenge continues for growing ultrathin SiO2 films of high quality; however, the ultimate solution relies on high dielectric constant (k) materials. In integrating high-k materials into device fabrication, an understanding of the etching characteristics of the materials is required for their removal and for contact etching. This paper presents the etch rates and possible etch mechanisms for HfO2 thin films on Si substrate in inductively coupled plasmas containing mixtures of CF4/Ar, C4F8/Ar, or SF6/Ar, as a function of gas composition, rf bias power, and surface temperature. The discharge was established at a gas pressure of 20 mTorr and an rf source power of 300 W. As the concentration of F-containing gases was decreased, the etch rate of Si decreased owing to the decreased amount of F radicals, while the etch rate of HfO2 remained almost unchanged, resulting in an increase in etch selectivity of HfO2 over Si. Increasing the bias power increased the etch rates of both Si and HfO2; however, the increase in etch rate was more significant for HfO2 than for Si, also resulting in an increase in selectivity. These results imply that the etching of HfO2 relies primarily on the sputtering by ion bombardment, and the etch rates were typically on the order of 20 nm/min with a selectivity > 1 at large Ar concentrations and high bias powers. A comparison is made with the results of plasma and surface diagnostics, to gain a better understanding of the physics and chemistry underlying the processing, and to achieve higher selectivities.

This wark was supported by NEDO/MIRAI Project.

9:00 AM PS2-WeM-3 Plasma Etching of High Dielectric Constant Materials on Silicon
L. Sha, D.L. Ramirez, J.P. Chang (University of California, Los Angeles)
Novel plasma etching chemistries are needed to pattern high dielectric constant materials, such as ZrO2 and HfO2, to enable their integration in sub-100 nm complementary metal oxide semiconductor (CMOS) devices. In the paper we discuss the study of the reaction kinetics of etching ZrO2 and HfO2 in chlorine and boron trichloride chemistry in an Electron Cyclotron Resonance (ECR) high-density plasma reactor. The BCl3/Cl2 plasma was characterized by Langmuir probe, optical emission spectroscopy (OES), and quadrupole mass spectroscopy (QMS). The etch rate of ZrO2 and HfO2 were determined to scale linearly with the square root of ion energy in Cl2 plasma, indicating that the etching reactions are limited by the momentum transfer to the etched film. The etching products in Cl2 plasma were determined to be highly chlorinated metal chlorides and chlorine oxides. The relative abundances of metal tetrachlorides were increased at higher ion energy due to the enhanced surface chlorination. Addition of BCl3 reduced the ion densities, but significant enhanced the metal oxides etch rate, due to the enhanced removal of oxygen. Silicon etch rate was suppressed with formation of the passivation layer of B-Si, resulting in the improved metal oxide etching selectivity with respect to silicon. The etching threshold energy for ZrO2 and Si in BCl3 were determined to be 21 eV and 28 eV, respectively, providing a range of operating conditions with very high etching selectivity. Increasing the electron temperature and ion density in BCl3 plasma could further increase the etching selectivity. Under the same operating conditions, the HfO2 etch rate is lower than ZrO2 etch rate, due to the stronger Hf-O bonds.
9:20 AM PS2-WeM-4 Investigation of Etching Properties of Hafnium Oxide Based High-K Materials Using Inductively Coupled Plasma
J. Chen, W.J. Yoo, S.H.D. Chan (National University of Singapore)
The HfO-based high dielectric constant (K) materials are being investigated as the most promising candidates to replace the conventional SiO-based dielectrics for CMOS device applications. Development of etching processes for these materials is challenging since their etch products are mostly non-volatile and therefore adversely affect device properties due to difficulties to control effective gate length and to reduce contact resistance and Si over-consumption. In this work, we investigated etching properties of HfO2, HfOxNy, HfSixOy, HfAlxOy deposited by CVD and PVD, using ICP of Cl2/HBr/CF4/O2. The results showed that the etch rates of the HfO-based high-K materials were only ~ 100Å/min in CF4 plasmas but increased up to 1000Å/min in Cl2/HBr plasmas. The etch rates increased rapidly with increasing inductive power, rf bias power, and/or the amount of Cl2. It was interesting to find out that in Cl2 plasmas, etch rates varied differently depending on the chemical components added to HfO. That is, etch rates increased with the addition of Si or N, but decreased with the addition of Al. The XPS analysis showed that, a significant amount of fluorides (F: 10%~16%) existed on surfaces of all the HfO-based materials after CF4 plasma etching, whereas amounts of chloride and bromide were little (Cl: 1.0%~2.2% and Br: 0.6%~1.7%) after Cl2/HBr plasma etching. We suggest that non-volatile etch products from the CF4 plasmas are responsible for the low etch rates, whereas more volatile etch products from Cl2/HBr plasmas result in higher etch rates. Analyzing the etch rates and XPS results for various concentrations of O in the HfO-based materials, we also found that low-reactivity of the Hf-O bonds and low-volatility of etch by-products from HfO-based materials could be responsible for the low etch rates.
9:40 AM PS2-WeM-5 Selective Dry Etching of SrBi2Ta2O9/CeO2 in the High Density Inductively Coupled Plasma Reactive Ion Etching
S.I. Shim (Korea University); Y.S. Kwon, S.I. Kim, Y.T. Kim (Korea Institute of Science and Technology); J.H. Park (Korea University)
The dry etching and etch stop of the ferroelectric film on the silicon surface without damage is the key process of the self-aligned gate structure for the fabrication of Single Transistor Type Ferroelectric Memory. The high vertical etching angle is also necessary for the high integration. In this paper, etching characteristics and selective dry etchings of SrBi2Ta2O9 (SBT) film and CeO2 film which is used for the buffer layer to improve the interface between SBT and silicon surface by using the Inductively Coupled Plasma Reactive Ion Etching (ICP-RIE) system with various Ar/Cl2 gas mixtures were reported. The highest etching selectivity of SBT/CeO2 was 6.8 and the vertical angle of SBT was 82°. The samples for etch were prepared by depositing CeO2 films with the thickness of 200 Å on Silicon substrates using rf sputtering of a Ce target in the reactive oxygen ambient. The SBT films with the thickness of 3000 Å were prepared on the CeO2 film and Si substrate by MOD method. The capacitor-voltage (C-V) measurement shows there was no degradation of the ferroelectric characteristics after dry etching process. The SEM images and XPS data proved the etch stop was achieved successfully. For further investigation, N+/P diode junction and the metal ferroelectric insulator semiconductor filed effect transistor (MFISFET) with Pt/SBT/CeO2/Si gate structure were fabricated. The I-V characteristics of the N+/p junctions and the drain current-drain voltage (ID-VD) and drain current-gate voltage (ID-VG) characteristics of the fabricated MFISFET show the etch stop process by using ICP-RIE system was successfully achieved without damage of silicon surface and degradation of ferroelectric characteristics.
10:00 AM PS2-WeM-6 High Rate Etching of SiC in Ultrahigh Density Plasmas Excited by Electron Cyclotron Resonance
K. Nakamura, M. Tuda, M. Taki, K. Shintani, H. Sumitani (Mitsubishi Electric Corporation, Japan)
Silicone carbide (SiC) is a promising substrate material for advanced high power devices, high frequency devices, and microelectoromechanical systems, because of good electrical, mechanical, and chemical properties. Fabrication for these devices, deep etching of SiC with a high rate and high selectivity to mask material is required. Etching of SiC is known to be difficult since its bonding energy is relatively larger than those for conventional Si and GaAs. Recently, fast SiC etching with an etch rate of ~1 µm/min has been reported,1,2 where high density ICP and Helicon Plasma sources were used. However, a much higher etch rate is needed for the bulk micromachining (typically, etched depth >100 µm) of SiC substrates. In this study, we have developed fast SiC etching processes using a ultrahigh density plasma source excited by electron cyclotron resonance (ECR); the plasma density measured for Ar was 1012-1013 cm-3, and the ion current density onto a substrate stage was more than 100 mA/cm2. Etching of 4H-SiC was performed in SF6/O2 plasmas by varying gas pressure, flow rate, O2 concentration, microwave power, and rf-bias power. With increasing microwave and rf-bias powers, the SiC etch rate increased up to ~8 µm/min. A high etch rate (>5 µm/min) and selectivity (>50 to Ni) was simultaneously obtained under optimized conditions. These results show that ultrahigh density ECR plasmas are desirable for SiC bulk micromachining.


1 F. A. Khan and I. Adesida, Appl. Phys. Lett. vol.75 2268 (1999)
2 P. Chabert, N. Proust, J. Perrin, and R. W. Boswell, Appl. Phys. Lett. vol.76 2310(2000) .

10:20 AM PS2-WeM-7 Chemical Mechanisms of Metal Etching in High Density Plasmas
A.S. Orland, R. Blumenthal (Auburn University)
Metals are found at the heart of many important current and developing device technologies, such as GMR read heads, MRAM and FeRAM. As the scale of these devices continues to be reduced, high performance etch technologies will become a necessary component of the fabrication of these devices. The chemical mechanisms of high-density plasma etching of Fe, Ni, Co and their alloys will be presented for a range of etch chemistries based upon CO2/NH3 etching and a new etch chemistry based on CO2/H2 gas mixtures. The chemical mechanisms of etching have been determined from measurements of the variation of chemical composition as a function of plasma conditions, using supersonic pulse, plasma sampling mass spectrometry. Finally, the mechanism of etching will be compared with the mechanisms of CO/NH3 and CO/H2 etching which have been previously shown to etch by the formation of volatile metal formates and metal acetates through a plasma-surface reaction. All of these etching chemistries are plagued by carbide deposition at high concentrations of CO2 or CO, and an explanation of the deposition mechanism will be given as well.
10:40 AM PS2-WeM-8 Low Energy Electron Enhanced Etching (LE4) of HgCdTe and III-V Semiconductor Materials
J. Kim, T.S. Koga, C. Miclaus, H.P. Gillis, M.S. Goorsky (University of California, Los Angeles); G.A. Garwood, D.R. Rhiger, S.M. Johnson (Raytheon Infrared Operations)
The high energy ion bombardment involved in the reactive ion etching (RIE) process creates damage sites in the HgCdTe material which cause type conversion, among other problems. The ion energy can be reduced by using electron cyclotron resonance (ECR) plasma etching; however, the etched surfaces are not reliably stoichiometric or smooth. We have been exploring a new dry etching technique called low energy electron enhanced etching (LE4) to achieve low-damage, smooth, stoichiometric etched surfaces with high-resolution pattern transfer.1 In the LE4 process, electrons at energies 1-15 eV and reactive species at thermal velocities arrive at the surface. The LE4 technique, because it completely eliminates ion bombardment and relies on low energy electrons to control the etching chemistry, holds promise for eliminating the damage while retaining the beneficial features of RIE. LE4 experiments were performed on non-patterned, or photoresist (PR) mesa patterned Hg1-xCdxTe (x~0.3) epitaxial layers grown by molecular beam epitaxy (MBE) on (211)-oriented Cd1-yZnyTe substrate or (211)-oriented CdTe/Si substrate. In LE4 of HgCdTe, the sample was placed between the cathode and anode in a dc plasma. Dc bias was applied to the backside of the sample to control the electron current density to the surface. A mixture of Ar-CH4-H2-N2 (AMHN) was used as the etching gas. We will summarize results from mechanistic study to optimize etch condition, and demonstrate how electron energy, CH4 concentration, and sample temperature influence the etch rate, surface stoichiometry, and surface roughness. In addition, we will show some results of our AMHN LE4 process for III-V semiconductor materials (GaAs and InP).


1 J. Kim, T.S. Koga, H.P. Gillis, M.S. Goorsky, G.A. Garwood, J.B. Varesi, D.R. Rhiger, and S.M. Johnson, Extended Abstracts the 2002 U.S. Workshop on Physics and Chemistry of II-VI Materials 173 (2002).

Time Period WeM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2003 Schedule