AVS2001 Session PS-TuP: Plasma Deposition, Modeling, and Emerging Applications Poster Session

Tuesday, October 30, 2001 5:30 PM in Room 134/135

Tuesday Afternoon

Time Period TuP Sessions | Topic PS Sessions | Time Periods | Topics | AVS2001 Schedule

PS-TuP-1 A Comparitive Study of PECVD of Fluorocarbon Films Using C3F8 and C4F8 Precursors
I.T. Martin, G. Malkov, E.R. Fisher (Colorado State University)
Plasma polymerization of fluorocarbons has been studied extensively. Recently, greater control over film characteristics has been attained through manipulation of plasma parameters, such as the formation of highly ordered CF2 rich films using downstream continuous wave (CW) and pulsed hexafluoropropylene oxide (HFPO) plasmas. Here, we explore films deposited from the fluorocarbon precursors, octafluoropropane (C3F8) and octafluorocyclobutane (C4F8). These compounds are used industrially as alternatives to CF4 and C2F6 in PECVD chamber cleans. Here, we characterize film properties as a function of input power, distance from the glow, and pulsed vs. CW conditions. Data from FTIR and XPS show films deposited with downstream CW and pulsed plasma conditions have higher CF2 content and lower cross-linking with both precursors. However, films deposited with C4F8 plasmas have a lower mechanical flexibility than those deposited with C3F8 plasmas. Comparison to the HFPO system suggests the oxygen present in the HFPO system may be integral to the deposition of highly ordered films. In addition to film characterization, we have used our imaging of radicals interacting with surfaces (IRIS) method to measure the surface interactions of CF2 radicals during plasma processing. CF2 surface loss coefficients determined for 5-150 W C3F8 and C4F8 plasmas indicate relatively high levels of scattering in these systems (S>1). Scatter values greater than unity indicate CF2 radicals are produced at the surface. Substrate biasing and ion removal techniques will be used to determine the effects of ions on these measurements. These data can be correlated with mass spectral data collected with the IRIS apparatus. Collectively, the data presented provide a comprehensive picture of these fluorocarbon systems, from the gas-phase to the material to the plasma-surface interface.
PS-TuP-2 Spectroscopic Study of the Energetic Character of O2/Ar/Tetramethyltin Discharge used for the Deposition of Transparent Conductive Tin Oxide Thin Films
F. Arefi-Khonsari, N. Bauduin, J. Amouroux (ENSCP-University of P.&M.Curie-Paris-France)
Non stoichiometric tin oxide thin films have been deposited from an O2/Ar/tetramethyltin (TMT) mixture in a RF glow discharge parallel plate reactor at low pressure (15 Pa) and at low temperature (25-80 °C). The spectroscopic study of the discharge was performed with the help of optical emission spectroscopy and mass spectrometry. The aim of this work was to determine the role of the experimental parameters on the energetic and chemical characteristics of the discharge. This work was carried out by determining the vibrational temperature of N2 (C3Πu,v'-B3Πg,v" transition) as well as the rotational temperatures of OH (Q2 rotational branch of the A2Σ+,v'=0 - X2Πi,v'=0 transition) and N2+ (R0 rotational branch of the B2Σu+, v'=0 - X2Σg+,v'=0 transition). The mean electronic temperature was determined with the help of OES, by using Junk and Getty's model. In the latter they have used a maxwellian distribution of the EEDF which cannot hold in our conditions. That is why we have used the nonmaxwellian distribution of the energy of the electrons by solving the Boltzman's equation. The results show that the energetic character of the discharge was not modified when the organometallic precursor (i.e. TMT) was introduced. The dissociation rate increased with the power giving rise to a plateau around 200 watts which corresponded to the conditions where carbon free SnO2 films were deposited. As for the biasing of the substrate electrode,which gave rise to an increase of the conductivity from 0.01 to 100 Ω-1.cm-1 with a decrease of the gap energy (from 3.5 to 2.5 eV), it did not give rise to a change of the energetic character. However, a spatially resolved study of the discharge by OES showed an increase of the intensities in the sheaths of both electrodes.
PS-TuP-3 Spatial and Temporal Behaviour of the Plasma Parameters in a Pulsed Magnetron Discharge
J.T. Gudmundsson (University of Iceland); J. Alami, U. Helmersson (Linkoping University, Sweden)
We demonstrate the evolution of the electron energy distribution and the plasma parameters in a high density plasma in a pulsed magnetron discharge. The high density plasma is created by applying a high power pulse (1 -- 3 MW) with repetition frequency 50 Hz to a planar magnetron discharge. The spatial and the temporal behaviour of the plasma parameters are investigated using a Langmuir probe; the electron energy distribution function, the electron density and the average electron energy. Furthermore we report on the variation of the plasma parameters and electron energy distribution function with pulse length and the gas pressure in the pressure range 0.5 -- 5 mTorr. The electron density in the vicinity of the substrate, 20 cm below the cathode target, peaks at roughly 1 x 1018 m-3 at 2 mTorr in a pulsed discharge with average power 300 W. Towards the end of the pulse two energy groups of electrons are present with a corresponding peak in average electron energy. With the disapperance of the high energy electron group the electron density peaks and the electron energy distribution appear to be Maxwellian like. Following the electron density peak the plasma becomes more Druyvesteyn like with higher average electron energy.
PS-TuP-4 Evaluation and Measurement of Ionization Fraction in Ionized Physical Vapor Deposition using Parallel-plates Method
K.-F. Chiu (National Tsing Hua University, Taiwan); Z.H. Barber (University of Cambridge, UK); R.E. Somekh (Plasmon Data Systems Ltd., UK)
The ionization fraction of the depositing flux of the ionized physical vapor deposition (IPVD) process was measured using a parallel-plates method. The method uses two parallel stainless steel plates forming a 1~2 mm slot, and measures the ionization fraction of the depositing flux travelling through the slot. A simple one-directional electric field was applied by biasing one side of the plates with the other side earthed. Negative bias was applied to avoid serious purperbation of the plasma. Since the ionized atoms are attractive to the biased side, the ionization fraction can be obtained by comparing the deposition rates with and without bias. This method was evaluated by modelling the trajectories of the depositing ions under the influence of the applied electric field.
PS-TuP-5 ICP Source Designs with Azimuthal Field Symmetry Despite a Current Node
S. Srinivasan, L.J. Overzet, M. Goeckner (University of Texas at Dallas)
Inductively coupled plasma source-coils can be modeled as transmission lines. The current characteristics across the transmission line are dependent on the terminating impedance and the constitutive parameters of the source. When the terminating impedance of the planar coil is different from the characteristic impedance, it results in standing waves. When the size of the planar coil exceeds one-quarter wavelength, the standing waves can produce a current node on the source coil. The location of the current node along the source coil is dependent on the frequency of operation and terminating impedance. These current nodes and the formation of standing waves along the source coil induce azimuthal asymmetry in the electric fields and bring about non-uniform power deposition in the plasma. We can change the geometry of the coil by making it three-dimensional with the adjacent loops of the source running in opposite directions to reduce azimuthal asymmetry and minimize the effect of a current node. The position of the current node along the three dimensional source affects the symmetry of the electric fields. The exact relationship between the field asymmetry and the position of the current node is being investigated. The field variations can be minimized by placing the current node on a loop that is pushed away from the dielectric window. The length of the three-dimensional source can also be adjusted to yield uniform fields and power deposition in the plasma. A three-dimensional source coil geometry can allow sufficient latitude for designing the direction in which the currents travel and to specify how far the different loops need to be from the dielectric window. We believe that the isolation of the node loop in the source is a key to obtaining azimuthal symmetry. Increasing the size of the source even to include multiple nodes would not be an issue then. This material is based in part upon work supported by the Texas Advanced Technology Program under Grant No. 009741-0081-1999.
PS-TuP-6 Control of Dissociation by Different Dilution Gases for Plasma Processing
KJ Taylor (University of California, San Diego); S.M. Yun (Lam Research Corporation); Y.J. Park (Samsung Electronics Corporation); G.R. Tynan (University of California, San Diego)
Electron temperature and electron density are modeled by using simple 0-d particle and power balance modeling and measured by Langmuir probe in pure He, Ar, and Xe plasmas. Trace amounts of oxygen gas is added to each of the inert gas plasma and dissociation of oxygen gas is studied by actinometry and by mass spectroscopy with various powers and various ratios of O2/inert gas. Reasonable agreement between these results and the neutral atomic oxygen density estimated using a simple model based on the measured electron density and temperature. Preliminary dissociation results using fluorocarbon will also be shown.
PS-TuP-7 Comparative Study of N2/CH4 Plasmas in Active Discharges and in Flowing Afterglow Conditions
R. Hrach (Charles University, Czech Republic); J.C. Legrand, A.M. Diamy (Universite Pierre et Marie Curie, France); V. Hrachova, M. Vicher (Charles University, Czech Republic)
Methane is widely used in plasma processing. Experimental techniques used for the decomposition of methane into simpler hydrocarbons can be divided into two groups - processing in active discharges and processing in flowing afterglow conditions. While the first group of discharges can lead to preparation of solid products, the lower energies in afterglows are much more convenient for efficient gaseous chemistry. The aim of this contribution is to give an insight into the basic mechanisms leading to decomposition of methane and preparation of both solid and gaseous stable products in various experimental conditions. Therefore, a computer experiment describing the N2/CH4 plasma was prepared and both the common features and differences of methane decomposition in various types of discharges were discussed. Models consisted of reactions between neutral, charged and excited species. Input data were derived from Langmuir probe measurements and from emission optical spectroscopy. For the solution a macroscopic kinetic approach based on balance equations of individual species was used. In order to reduce the resulting models (consisting of more than 300 and 166 reactions - in active discharges and in flowing afterglow conditions, respectively) a method of reduction of the kinetic scheme was applied. In the discussion the fluxes of carbon and nitrogen atoms were studied in the dependence on concrete experimental conditions and an attention was devoted both to the methodology of simulation and to the reduction technique in plasma chemistry.
PS-TuP-8 Diagnostics and Modelling of Ar/O2 Plasma used for Plasma Oxidation of Al
J. Pavlik, S. Novak, Z. Stryhal (J. E. Purkyne University, Czech Republic); R. Hrach, V. Hrachova, M. Vicher (Charles University, Czech Republic)
Plasma oxidation, utilising highly activated particles in oxygen or oxygen/argon plasma, is one of the low temperature techniques used to growth of dielectric films on metal and semiconductor surfaces. The contribution deals with a comparative study of plasma characteristics and thin oxide film properties with following tasks: to better understand the mechanism of plasma oxidation of aluminium thin films, to find basic factors which play a dominant role in the process studied, and to find factors which determine properties of the created oxide layers. The experiments were carried out in a system for plasma-chemical surface modification of thin films. A DC discharge was applied in an oxygen-argon mixture. The main diagnostic techniques applied in order to determine plasma parameters were the optical emission spectroscopy and the quadrupole mass spectrometry. Both the composition and the atomic surface density of prepared samples were studied by conventional Rutherford elastic back-scattering. Investigation of morphology of the sample surfaces and surface roughness of the alumina thin film were performed by Atomic Force Microscopy. The experimental techniques are combined with computer experiment in order to achieve better insight into the problem solved. The computer experiment consists of several stages - model of gaseous plasma chemistry, model of the plasma-solid interaction, and model of processes on the surface of growing oxide film. The technique used was both the macroscopic kinetic approach and combination of various simulation methods - fluid modelling and PIC-MC modelling.
PS-TuP-9 Simulation of High Aspect Ratio Trench Profiles in Silicon under a SF6/O2 Plasma Chemistry by a 2D Surface Model Based on Monte-Carlo Techniques
G. Marcos (GREMI, CNRS-Universite d'Orleans, France); A. Rhallabi (LPCM, IMN, CNRS-Universite de Nantes, France); P. Ranson (GREMI, CNRS-Universite d'Orleans, France)
Deep etching to obtain high aspect ratio trenches (deep/width >20) is a current challenge in view of new microelectronic applications. This objective needs a precise control of feature profile evolution during etching, which requires fine comprehension of surface mechanisms occurring. In order to understand consequences on the final etch profile effects due to reactive species in a high density SF6/O2 plasma, we have developed a two dimensional etching model. The species included are fluorine and oxygen radicals and ions which we study the interactions with silicon and mask (SiO2) surface. Angular and energetic distributions of ions are taken into account and calculated using Monte-Carlo simulation of ion transport across rf discharges sheaths. We assume that angular neutral distribution is isotropic. The surface model is based on Monte-Carlo approach allowing move the etched silicon surface by probabilistic criteria. It includes processes such as adsorption/desorption, chemically etching, passivation layer formation, ion preferential sputtering and reflexion, redeposition. The kinetic parameters are introduced as input data using experimentally performed measurements. The silicon area is discretized by a grid and each cell represents really a number of silicon sites. The simulation results show the increase in anisotropy of the etch profile with increasing the ion to neutral flux ratio. Undercut is due to spontaneous etching caused by the isotropic neutral flux. Ion angular distribution and mask geometry appear to be important parameters in the bowing formation. Formation and growth mechanisms of the passivation layer SiOxFy are now known with more accuracy, in function with certain parameters as surface temperature or ion distributions. Its consequences on the final trench topography is also studied.
PS-TuP-10 Scalability of Innovative ICP Source Geometries
L.J. Pratti, J.M. Marquis, M. Goeckner, L.J. Overzet (University of Texas at Dallas)
As industry moves towards plasma processing on larger substrates, there is a need for larger plasma sources. Due to transmission line effects, large traditional planar ICP sources produce non-uniform plasmas, and thus non-uniform processing rates across the substrate. Recently, a three-dimensional coil geometry which can reduce these non-uniformities was introduced.1 In this paper, we examine standing wave effects on the field intensities and uniformities when a current node is present on the planar and various 3-D coils. Preliminary experiments show uniformity improves by a factor of 3 or more using the 3-D coil. The location of the node on the coil is found using a dynamic current probe. The field measurements are made with a B-dot probe in the absence of plasma. A separate paper presents the results of a predictive model of the system.

This material is based in part upon work supported by the Texas Advanced Technology Program under Grant No. 009741-0081-1999.
1M. H. Khater, L. J. Overzet, Plasma Sources Sci. Technol. 9 (2000) 545-561.

PS-TuP-11 Study of Pulsed Plasma Doping by Experimental Diagnostics and HPEM Simulations
Y. Lei, E.A. Oakes, M. Goeckner (University of Texas at Dallas); S.B. Felch, Z. Fang, B.-W. Koo (Varian Semiconductor Equipment Associates)
Pulsed plasma doping is a potential solution to implement ultra-shallow junctions. Previous studies of the pulsed plasma doping process have closely examined the implanted species1 and device characteristics.2 In this paper we examine fundamental issues related to the plasma source used in that process. The work reported here combines both experimental and theoretical studies. Experimental work consists primarily of Langmuir probe studies of the discharge. Early data indicate that during a 20 µs long implant pulse the plasma density is on the order of 109 cm-3 and the electron temperature is ~2 eV. Between pulses, the density falls to 106 cm-3 and the electron temperature collapses to ~0.2 eV. We combine the experimental work with simulations using the Hybrid Plasma Equipment Model, HPEM.3 Comparisons will be made between the simulation and the experimental results. Finally, we will discuss likely electron heating mechanisms in this discharge.


1
1M.J. Goeckner, S.B. Felch, Z. Fang, et al. "Plasma doping for shallow junctions," J VAC SCI TECHNOL B 17: (5) 2290-2293 SEP-OCT 1999
2 D. Lenoble, M.J. Goeckner, S.B. Felch, Z. Fang, J. Galvier and A. Grouillet, "Evaluation of Plasma Doping for sub-0.18 µm Devices" Proceedings of the 12th International Conference on Ion implantation Technology ’98, Kyoto, Jp, June 22-26, 1998.
3 R. Kinder and M. J. Kushner, "Wave Propagation and Power Deposition in Magnetically Enhanced Inductively Coupled and Helicon Plasma Sources", J. Vac. Sci. Technol. A 19, 76 (2001). The authors from UTD gratefully acknowledge M.J. Kushner for allowing us to use HPEM in this study. This work is supported by Varian Semiconductor Equipment Associates.

PS-TuP-12 Neutral Gas Pressure and Flow in High Density Plasmas
M.A. Nierode, D.B. Graves (University of California at Berkeley)
The charged species in high density plasmas often couple with the transport processes occurring in the neutral gas. The charged species in the plasma exchange mass, momentum and energy with the neutral species, and under some conditions this can have a profound impact on the neutral processes. We present model results of a neutral gas interacting with a high density plasma. Conservation equations for the neutral species are solved, decoupled from a plasma model, so that the plasma influences the neutral species as specified source terms in the appropriate neutral transport equations. We have included plasma heating, dissociation, and momentum exchange, with the assumed model of a diatomic neutral gas. In particular, we present results for the case of a plasma filling a tube through which a neutral gas flows. Plasma heating and molecular dissociation can have a significant effect on the gas mass-averaged velocity and therefore on the pressure drop in the tube. Depending on how the gas is introduced and pumped, the net effects may differ. In geometries in which gas flow can bypass the region of intense plasma, the effects can be very different. Principles for analyzing various cases are presented.
PS-TuP-14 Numerical Optimization of a C4F8 Chamber Clean Recipe
G.I. Font (Kinema Research); B. Devulapalli (Fluent, Inc.); W.L. Morgan (Kinema Research)
Plasma deposition reactors are regularly subjected to non-value added cleaning schedules to eliminate build up inside the reactor. Cleaning minimizes flaking and particle shedding which can contaminate or destroy the integrated circuits being created on the wafer. If the cleaning step can be made as fast as possible, the down time for the tool can be minimized. Recently much effort has been devoted to the optimization of plasma reactor clean recipes. The criteria for optimization vary from clean time and expense of feed gas to environmental emissions of PFC’s. Parameters which are usually considered for optimization include total gas feed rate, pressure, and mixture ratios of feed gasses. Optimizing studies require costly and time consuming experimentation. If the studies could be conducted numerically, the expense would be greatly reduced. The optimum point could also be tailored for specific reactor geometries. In this work, we use computational methods to optimize a C4F8 chamber clean chemistry. Comparison with experimental results shed light on the viability of conducting such optimizations numerically and give a unique perspective on the change in plasma constituents as the process parameters are varied.
PS-TuP-16 Computer Modeling as a Tool to Design Non-Critical High Rate Deposition Conditions for the "Baffled Target" Reactive Sputtering Process
T. Nyberg, F. Engelmark, J. Westlinder, S. Berg (Uppsala University, Sweden)
Normally it is quite puzzling to operate the target in the high rate metallic mode during reactive sputter deposition. To decrease the target poisoning, several authors have suggested to enclose the target into a box having a front aperture allowing sputtered material to be deposited through the aperture onto the substrates in the main chamber. The purpose of this box is to separate the reactive gas in the chamber from the target thereby decreasing the target poisoning. Non of the previous investigators, however, have made a detailed analysis of the full potential of this novel process design. By basically applying "Bergs´ model" for the reactive sputtering process to the conditions valid for this process we successfully were able to predict details of the behavior of the process. Most surprisingly we found out that with this "baffled target" it may be possible to carry out a perfect oxide deposition for a gas supply level even below the supply levels defining the hysteresis region. We have never observed such a favorable behavior for any type of reactive sputtering process before. This behavior offers extremely non-critical processing control allowing non-poisoned target high rate perfect oxide deposition. All our experimental results nicely correlates with the behavior predicted by the computer simulations.
PS-TuP-17 Influence of High Power Densities on the Composition of Pulsed Magnetron Plasmas
A.P. Ehiasarian, K.M. Macak, R. New, W.-D. Münz (Sheffield-Hallam University, UK); U. Helmersson (Linköping University, Sweden)
The application of high power pulses with peak voltage of -2 kV and peak power density of 3 kW.cm-2 to magnetron plasma sources is a new development in sputtering technology. This study presents evidence of multiply charged Cr and Ti metal ions in the dense plasma region of the high power pulsed magnetron discharge and a substantially increased metal ion production compared to continuous magnetron sputtering. The average degree of ionisation obtained from growth rate measurements of the Cr metal flux generated in the plasma source was 30 % at a distance of 50 cm. The high power is applied to ordinary magnetron cathodes in pulses with short duration of typically some tens of microseconds in order to avoid a glow-to-arc transition. An Ar pressure of typically 0.4 Pa (3 mTorr) is maintained during the discharge. The time evolution within a pulse of the optical emission from Ar0, Cr0, Cr1+, and Cr2+ showed that at low power (0.2 kW.cm-2) Cr and Ar excitation develops simultaneously. At higher powers (3 kW.cm-2) a distinct transition from Ar to Cr plasma within the duration of the pulse was observed. Optical emission from the various species in the plasma showed an increase in metal ion-to-neutral ratio with increasing power. These observations indicate that a threshold plasma density exists when a transition from conventional pulsed sputtering to pulsed self-sputtering (similar to cathodic arc spots) occurs. First attempts to model the time-dependence of the OES signals are discussed.
PS-TuP-20 Self-consistent Particle Modelling of Plasma-solid Interaction: Sheath Formation in Electronegative Plasma
R. Hrach, V. Hrachova, M. Vicher (Charles University, Czech Republic)
Low-temperature reactive plasmas employing electronegative gases are often used for various material processing. Negative ions in such plasmas affect the transport of charged species from plasma to immersed substrates and in this way the corresponding plasma-chemical technologies. The same situation holds for probe diagnostics of low-temperature plasmas containing negative ions. The derived results depend on various plasma and electrode parameters - as plasma composition, pressure, and form of substrate/probe, etc. - in rather complicated way. In order to simplify the discussion of experimental results a PIC-MC plasma model was suggested and following questions were studied: * the influence of plasma composition, especially the influence of negative ions, on the distribution of electric potential near the metal substrate * the influence of pressure on the energy and angular distributions of charged particles in the vicinity of plasma-solid boundary as well as on the fluxes of charged particles on the substrate of solids immersed into plasma * the dynamical processes taking part in plasma-solid interaction when applying either negative or positive step voltages on the substrate. The simulation was performed for O2/Ar plasma in the positive column of dc glow discharge. The attention was devoted both to the calculation of plasma properties together with their comparison with experimentally derived results and to the technique of computer simulation. Computer experiment enabled to discuss various mechanisms in the plasma affecting the distribution of electric potential as well as the fluxes of charged species separately.
PS-TuP-21 A New Protective Layer Using Plasma Polymerized Thin Films in Plasma Display Panel
S.O. Kim, G.H. Miley (University of Illinois at Urbana-Champaign)
Flat panel displays have recently received much attention in research and development as alternatives to cathode ray tube(CRT). The plasma display panel(PDP) is considered a promising candidate as a conventional display. The performance of ac-plasma display panel(PDP) is influenced strongly by the surface plasma characteristics on the protective layer. The new protective layer in ac-plasma display panel(PDP) manufactured by plasma polymerization is a monomer produced by MMA(Methyl methacrylate). The functional groups of MMA appeard in the PPMMA(Plasma polymerized methyl methacrylate) as well, and this was confirmed through an analysis using FT-IR. The polymerization rate of plasma polymer increased as a function of the plasma power and decreased as a function of the system pressure using scanning electron microscopy(SEM). Plasma polymer has highly secondary electron emission coefficient from 0.02 to 0.14 as a function of the pure Ne ions under accelerating voltage ranged from 50 V to 150 V.
PS-TuP-22 Synthesis of Organic Polymer Thin Films by Plasma Assisted CVD for Low k Dielectrics Application
M.-C. Kim, S.-H. Cho, J.G. Han, S.-B. Lee, J.-H. Boo (Sungkyunkwan University, Korea)
Plasma polymerized thin films have been deposited on Si(100) substrates at growth temperature between room temperature and 400 °C using thiophene (C4H4S) precursor by plasma assisted chemical vapor deposition (PACVD) method for low-dielectric device application. In order to compare physical properties of the as-grown thin films, the effects of the plasma power, gas flow ratio and deposition temperature on the dielectric constant and thermal stability were mainly studied. XRD and TED studies revealed that the as-grown thin films have highly oriented amorphous polymer structure. XPS data showed that the polymerized thin films that grown under different RF power and deposition temperature as well as different gas ratio of Ar:H2 have different stoichiometric ratio of C and S compared with that of monomer, indicating a formation of mixture polymers. Moreover, we also realized that oxygen free and thermally stable polymer thin films could be grown at even 400 °C. The results of SEM, AFM and TEM showed that the polymer films with smooth surface and sharp interface could be grown under various deposition conditions. From the electrical property measurements such as I-V and C-V characteristics, the minimum dielectric constant and the best leakage current were obtained to be about 3.22 and 10 -11 A/cm 2, respectively.
PS-TuP-23 Improved Gas-mixtures for High Efficiency in AC Plasma Display Panel
M.-P. Park, T.-W Kim, H.-J. Hwang (Chung-Ang University, Korea)
In this work, we have examined the Ne-He-Xe gas discharge characteristics in the Plasma Display Panel (PDP) and explained the luminous efficiency at the various gas mixtures. The gas mixtures adopted to the PDP is necessary for both decreasing the power consumption and increasing the luminance efficiency. Therefore, for improving the luminous efficiency significantly in ac PDP, we suggest a new gas-mixtures; (Ne:He=7:3)-(~10%Xe) to achieve good performance for driving waveform as the function of gas pressure, Xe mixing ratio, Ne to He mixture, etc. In addition, the results of experimental measurements have been compared with those calculated by two-dimensional FDTD (Finite Difference Time-Domain method) model of the discharge, which is newly applied to our computer code. Through these results, we have found that He mixing ratio and gas pressure led to the decreasing of the delay time and increasing of the luminance efficiency, as Xe gas ratio increases.
PS-TuP-24 The Effect of Washing Treatments on the Surface Chemistry of Plasma Coated Textiles as Studied by High Resolution XPS
S.R. Coulson (Dera, UK); S.J. Hutton, C. Moffitt (Kratos Analytical, UK)
Hydrophobic and oleophobic repellency are highly desirable properties for textiles. Such behaviour can be imparted by coating the surface with perfluoroalkyl polymers. One method of applying such coatings is by plasma polymerisation.1 The advantages of such a solventless method are many and include reduction in waste, low process temperatures and a wide range of suitable substrate materials. However, one problem associated with fluorinated coatings applied to textlies is the loss of liquid repellency during washing. This is attributed to the rearrangement of the fluorinated surface molecules. Here we describe the surface chemistry, as studied by high resolution XPS, of a pulsed plasma deposited fluorinated acrylate before and after washing cycles.


1 H. Yasuda, Plasma Polymerisation, Academic Press, London, 1985.

PS-TuP-25 Surface Reactions of Polyethylene with Nitrogen Plasmas/Ion Beams
A.J. Wagner, S.R. Carlo, C. Vecitis (Johns Hopkins University); F. Reniers (Universite Libre de Bruxelles, Belgium); H. Fairbrother (Johns Hopkins University)
Nitrogen containing functionalities are often introduced into polymer surfaces to improve interfacial properties such as adhesion and biocompatibility. The process of nitrogenation at the molecular level has been probed by investigating the reactivity of polyethylene (PE) with N2+ ions and N2 plasmas using X-ray Photoelectron Spectroscopy (XPS), Attenuated Total Reflectance (ATR), Atomic Force Microscopy (AFM) and contact angle measurements. XPS and ATR results indicate that a variety of different nitrogen groups are introduced during the interaction of nitrogen ion beams with PE, notably C-N, C=N, and CN moieties. These chemical modifications are also accompanied by changes in the surface roughness and frictional properties of the interface, as characterized by AFM. These results will be compared with related experiments carried out to determine the change in surface composition of PE as a result of nitrogen plasma and radical treatments. Titanium atoms have been deposited onto nitrogen modified PE interfaces by physical vapor deposition as a model for an industrial metallization process. The subsequent reactivity of the nitrogenated interfaces with titanium atoms will be discussed in terms of the formation of nitride and carbide species within the interfacial region. To further isolate the reactivity of specific functional groups in bulk polymeric substrates, results will also be presented on the reactivity of a CN terminated self-assembled monolayer with vapor phase titanium atoms.
PS-TuP-26 Plasma, Electrochemical and Thermal Oxidations of Metals and Alloys as Methods for Designing Nanostructured Oxide Films
J.R. Parga (Instituto Tec. de Saltillo, Mexico); M.A. Hossain (Lamar University); H. McWhinney (Prairie View A&M University); D. Mencer (Penn State University); D.L. Cocke (Lamar University)
Plasma, electrochemical and thermal oxidations of metals and alloys are methods of producing functional thin films. However, the fundamental physical chemistry of the film oxidation growth processes have not been sufficiently known to allow design of multicomponent oxide layers. Our reseach has recently determined the factors that control the development of oxide films on metals and alloys by thermal and electrochemical methods from which a predictive model has been developed. We have recently found that plasma methods are quite unique in producing oxide film structures that are not expected from these models. The uniqueness of the plasma method provides an alternative preparation that complements the thermal and electrochemical approaches. Our recent results using various metals and alloys such as Cu, Ni, Al, Zr, Ti and their binary and ternary alloys will be used to delineate the advantages and disadvantages of the three preparation methods and highlight the unique attributes of the plasma oxidation method. Various surface and subsurface characterization techniques have been used to structurally and chemically characterize the resulting films allowing insight into the reasons for the unique behavior of the plasma oxidation. The theoretical background and reaction models which allow structural design at the nanoscale for thermal and electrochemical oxidation will be used to examine the plasma oxidation processes and explore the the predictibility required for oxide film design at the nanoscale.
PS-TuP-27 Change of Surfaces of PDP Panel during Discharge
K.H. Lee, H. Soh, Y.C. Kim (Hanyang University, Korea)
Plasma display panel (PDP) is a most promising candidate for large-area wall-hanging displays because of the features of a simple panel structure and simple processes appropriate for large-area displays.1 Aging process accomplished for 48 hours in PDP fabrication stabilizes the inside of panel and maintains optical performance by initial discharge. We must reduce long aging time for productivity improvement. For these reasons, we investigated an effect which aging process exerts in surface of front panel and rear panel. In this experiment, we developed in-situ analysis system analyzing panel surface without the exposure to minimize the outside influence. This in- situ analysis system can analyze 7 inch test panel. The performance and lifetime of a PDP is strongly related to the MgO protection film, the phosphor layer and discharge gas.2 Therefore, we observed MgO protection film of front panel and Phosphor layer of rear panel according to aging time. Also, in order to find out surface changes according to plasma discharge, 30 minutes aging sample was discharged by He plasma with increasing power. The physical and chemical properties were characterized X-ray photoelectron spectroscopy (XPS), Auger electron microscopy (AES), Atomic force microscope (AFM), Mass spectroscopy (QMS200).


1
1Tsutae Shinoda,Masayuki Wakitani,Toshiyuko Nanto,Noriyuki Awaji and Shinji Kanagu IEEE TRANSATIONS ON ELECTRON DEVICE, vol.47,NO.1, 77 January 2000
2Kunio YOSHIDA, Heiju UCHIKE, Masahiro SAWA, IEICE TRANS.ELECTRON, VOL.E82-C, N10, 1798 (1999)

PS-TuP-28 Characteristics of Capillary Electrode Atmospheric Pressure Glow Discharge and Its Application to Glass Substrate Cleaning
Y.H. Lee, C.H. Yi, M.J. Chung, G.Y. Yeom (Sungkyunkwan University, Korea)
In this study, the characteristics of atmospheric low temperature plasmas generated by capillary electrodes were investigated for the application of the TFT-LCD glass substrate cleaning process. The characteristics of the plasmas were studied as a function of capillary aspect ratios, input power, electrode distance, the gas mixtures of He, O2, Ar, and N2, etc. using a high voltage probe, a current probe, Quadrupole Mass Spectroscopy (QMS), and optical emission spectroscopy (OES). The voltage between the electrodes increased with the increase of input power, the increase of electrode distance, the decrease of He flow rate, and the increase of O2 flow rate. The increase of the voltage has led to unstable filamentary discharge from the stable capillary discharge. The use of capillary electrode instead of dielectric barrier electrode (the electrode covered with a non-capillary dielectric) not only decreased the electrode voltage, therefore, increased the stability of the plasma but also increased the discharge current and, therefore, the intensity of the plasma. Increased ionization and dissociation of the plasma species could be observed by OES with the increase of input power in He/O2 mixtures. However, with the increase of O2 flow rate in a constant He flow rate, the emission peaks from He decreased due to the increased electron consumption by oxygen while the emission peaks from O2+ and O increased due to the increased ionization and dissociation rates with the increase of oxygen concentration in the He/O2 gas mixtures. Also, using He/O2 gas mixtures, organic materials such as photoresist on the glass substrate could be successfully removed with the average etch rates higher than 570 nm/min.
PS-TuP-29 The Relationship between Plasma States and Film Formation Behavior in Ti-Me-N by Double Magnetron Sputtering
Y.M. Kim, J. Kim, J.G. Han (Sungkyunkwan University, Korea)
The main problems related to a DC reactive sputtering are instability process and low deposition rate. In recent years, in order to overcome these disadvantages, pulsed reactive unbalanced or dual magnetron sputtering is widely used for thin film deposition, such as insulating nitrides and oxides films. In general, for analysis of the influence of plasma parameters on physical properties of thin films, plasma diagnostics have been widely used. In previous works, Ti-N was deposited by unbalanced magnetron sputtering. We have measured plasma parameters during deposition of Ti-N by Langmuir probe and Optical Emission Spectroscopy (OES). As increasing discharge power, plasma density and electron temperature were increased the other side, plasma potential was decreased. In this study, we have developed the mid-frequency powered double magnetron sputtering system with two different material targets. We synthesized Ti-Me-N films with various doped metal contents in this system and analyzed microstructures of the sample with XRD and SEM. Also, for feedback control and analysis of the influence of plasma parameters, we have studied the relationship between plasma states and film formation behavior for double magnetron discharge conditions by Langmuir probe and OES.
PS-TuP-30 Amorphous Metal-organic Chemical Vapor Deposition NbxTa(1-x)N Films for Diffusion Barrier
W.C. Gau, C.W. Wu (National Tsing Hua University, Taiwan, R.O.C.); T.C. Chang (National Sun Yat-Sen University, Taiwan, R.O.C.); C.H. Li (National Chiao Tung University, Taiwan, R.O.C.); C.J. Chu, C.H. Chen (Nanmat Technology Co., LTD., Taiwan, R.O.C.); L.J. Chen (National Tsing Hua University, Taiwan, R.O.C.)
To incorporate Cu into interconnection structures, it is necessary to introduce diffusion barrier to prevent Cu from entering the silicon substrate and to form deep level traps. The presence of grain boundaries in the film is generally detrimental to the diffusion barrier properties. One way to eliminate grain boundaries is to render the barrier structure amorphous. In the present work, the resistivity, composition, crystal structure, and microstructure of NbxTa((1-x)N film were investigated. Amorphous diffusion barrier of NbxTa(1-x)N films were deposited in a CVD system with mixed precursors ( 75% NB= (Net2)4 and 25% (Net2)3Ta=NEt ) and NH3 gas. The NbxTa(1-x)N films were deposited at substrate temperatures of 375-500 °C, reactor working pressures of 0.3-0.5 Torr, Argon carrier flow rate of 10 sccm, ammonia reactant flow rates between 5 and 30 sccm. The thermal stability was evaluated by electrical measurement. In addition, the barrier films were deposited onto patterned wafer with 0.2 µm-contact holes to check the step coverage. The effects of N2/H2/NH3 gaseous plasma (200W) post-treatment with various times (3-10 min) were also investigated. The films were subsequently characterized by transmission electron microscopy (TEM). Depth profile and the chemical environment of elements in the films were analyzed by x-ray photoelectron spectroscopy (XPS). The amorphous NbxTa(1-x)N films were found to contain a low C concentration (10%), high N concentration (40%), and low resistivity with NH3 as a reactant gas. The resistivity of barrier was increased with time owing to the absorption of oxygen in the atmosphere. NH3 plasma post-treatment for 3 min was found to be effective in preventing the oxidation and reducing the resistivity. The NbxTa(1-x)N films were found to be an effective barrier up to 550 °C for 30 min.
PS-TuP-31 Silicon Trench Oxidation Layer Formation by Employing Oxygen Negative Ion
H. Shindo (Tokai University, Japan)
A low temperature and low damage silicon oxidation technique has been highly required in various ULSI processes. In particular for trench isolation of a memory cell to realize further integrations, the oxidation should be ion-assisted for directionality but with low damage. The objective of this work is to study silicon trench oxidation by negative oxygen ion to form an insulation layer for cell isolation. The plasma was produced in a 6 inch stainless-steel chamber, and the downstream plasma was mainly considered because in this region the negative ion was highly populated. Silicon oxidation was made in downstream region, and the stage was biased by the DC voltage as well as the RF bias to irradiate both negative and positive ions. The RF bias voltage was applied to the stage with a cored-transformer, and the secondary of the transformer was biased by DC voltage at the same time. The oxidation experiment was performed at the substrate temperature of 100 to 200 degree C. The frequency of the bias was varied with keeping the RF voltage of 65 V peak-to-peak.The oxidation depth strongly depended on the frequency and there was a limitation of oxidation at both sides of frequency. Since the limitation begins at about 1 MHz which is close to the negative ion plasma frequency, it was concluded that the oxidation was negative ion-assisted. For an application to insulation layer, the breakdown strength of the oxide film thus produced was also examined, and the value of 6.2 MV/cm was obtained. This value is comparable to that of thermally grown oxide film. The trench oxidation showed a farily high directionality which was dependent on the substrate bias voltage. The value of 1.5 were obtained as the oxidation directionality, defined by the ratio of the oxidation depth of the trench bottom to side wall, while with no bias, the directionarilty was only less than 0.5.
PS-TuP-32 Surface Coating of Poly(meta-phenylene isophthalamide) Nanofibers by Chemical Vapor Deposition and Metal Sputtering
M. Graham, W. Liu, D. Reneker, E.A. Evans (University of Akron)
Electrospun nanofibers can be used as either functional or sacrificial substrates for creating nanoscale structures. Surface structures of electrospun nanofibers are of great significance for applications in the areas of filtration, biomedicine, catalyst support and electronics. Coating by chemical vapor deposition (CVD) or physical vapor deposition (PVD) offers a straightforward method to modify the surface properties of nanofibers. Using CVD and/or PVD processes surface roughness, chemical composition, mechanical strength, and electrical conductivity can be controlled. Fibers of poly(meta-phenylene isophthalamide) (MPD-I) were commercialized by the Dupont company under the trademark of Nomex®. MPD-I nanofibers were electrospun and collected onto aluminum foil and copper grids as a thin sheet of nonwoven fabric. The average diameter of the as-spun MPD-I fibers was around 200 nm. There was a distribution of fiber sizes. The smallest fiber observed was 4 nm. The fiber surface was relatively smooth. Ultra-thin web-like fibers 3, spring-like fibers and branching fibers were observed, demonstrating the versatility of morphological features produced by electrospinning. Fibers were coated with carbon, copper, aluminum and aluminum nitride using plasma enhanced CVD and PVD processes. TEM, SEM, AFM, and reflectivity measurements were used to characterize the uncoated and coated fibers. MPD-I nanofibers were successfully coated with thin film materials using CVD and PVD processes. The surface features of the fibers were modified so that the roughness, chemical stability, wettability, conductivity and other physical properties of the fiber surface changed or may change accordingly.
Time Period TuP Sessions | Topic PS Sessions | Time Periods | Topics | AVS2001 Schedule