AVS2001 Session PS1-WeM: Dielectric Etch II

Wednesday, October 31, 2001 8:20 AM in Room 103

Wednesday Morning

Time Period WeM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2001 Schedule

Start Invited? Item
8:20 AM PS1-WeM-1 Plasma Etching of High Dielectric Constant Materials
L. Sha, J.P. Chang (University of California, Los Angeles)
Novel plasma etching chemistries are needed to pattern high dielectric constant materials, such as transition metal oxides, to enable their integration in sub-0.13µm complementary metal oxide semiconductor (CMOS) devices. In the work, we aim to study the reaction kinetics of etching zirconium oxide thin films in a high-density chlorine discharge. An Electron Cyclotron Resonance (ECR) microwave reactor is used to generate a chlorine discharge for etching ZrO2. The plasma properties, such as the electron density, temperature and distribution, are determined by a Langmuir probe. A gridded ion energy analyzer is built to monitor the ion flux, impinging energy, and distribution. Optical emission spectroscopy (OES) and quadrupole mass spectroscopy (QMS) are employed to identify the gas phase reactive species, including the reactants (Cl2, Cl, Cl+, Cl-, ...) and the reaction products (ZrClx, ZrOx, ...), and quantify their concentrations as a function of the chlorine pressure, substrate temperature, substrate bias, and the plasma source power. Surface reaction chemistry and the etching rate are determined by in-situ transmission infrared spectroscopy and laser interferometry. The reactant neutral to ion flux ratio, a strong function of the processing pressure and the input microwave power, is a key factor affecting the surface reaction chemistry and the etching anisotropy. The concentrations of various ZrClx with different x values are measured and showed a strong dependency on both the reactant neutral to ion flux ratio and the ion incident energy. The surface roughness of the silicon substrate after etching is measured by AFM and compared to that of a pristine silicon surface. The results indicate that the roughness is preserved with lower ion energy, and suggest that the substrate bias should be minimized near the end point.
8:40 AM PS1-WeM-2 Low-k Etch Selectivity Enhancement Through Ion Energy Control
R. Silapunt, A.E. Wendt (University of Wisconsin-Madison); K.H.R. Kirmse, F.G. Celii (Texas Instruments, Inc.)
Organosilicate glass (OSG) is a low-k dielectric material under development for high speed interconnects in integrated circuit manufacturing, but selective etching of OSG over etch stop layers, Silicon Carbide (SiC) and Silicon Nitride (SiN), has proven challenging. Because the energy of ions bombarding the substrate has been shown to play an important role in etch selectivity, it has been proposed that improved ion energy control may provide a solution for improving OSG etch selectivity. However, the conventional sinusoidal substrate bias voltage waveform leads to a broad ion energy distribution (IED), allowing only crude control over average ion energy. Using a narrow IED may significantly enhance selectivity, especially when the ions have energy above the etching threshold energy of one material, but below the threshold energy of the other. We have applied a technique for producing a narrow IED to evaluate its potential for improving OSG/SiN and OSG/SiC etch selectivity. This method replaces the sinusoidal substrate bias voltage waveform with a specially tailored bias voltage waveform consisting of a short voltage spike in combination with longer periods of constant voltage. This produces a nearly constant voltage drop across the substrate sheath and thus a narrow IED. Etching experiments have been conducted in a helicon plasma etching reactor with a C4F8/Ar/N2 gas mixture. A comparison of OSG/SiC and OSG/SiN selectivities between sinusoidal and tailored substrate bias voltage waveform has been made. Etch rates as a function of average ion energy for sinusoidal and tailored bias voltage waveforms show significant differences. With the tailored bias voltage waveform, infinite selectivity is achieved for a 40 V range of bias voltage, while a maximum selectivity of ~2:1 is achieved for the sinusoidal waveform.
9:00 AM PS1-WeM-3 Organic Low-k Film Etching in Inductively Coupled Plasma Employing N2/H2 and N2/NH3 Gases
H. Nagai (Nagoya University, Japan); M. Hiramatsu (Meijo University, Japan); M. Hori, T. Goto (Nagoya University, Japan)
An organic low-k film, FLARE, is one of the most prospective candidates for interlayer films with lower dielectric constants (low-k). N2/H2 and N2/NH3 plasmas have been used for etching organic low-k film without degrading the film quality and etch profile. In this study, the organic low-k film was etched in inductively coupled high-density plasmas (ICP) employing N2/H2 and N2/NH2 gases. By changing the mixing ratio of these gases, the anistropic etching profile was obtained. The etching plasmas were evaluated by quadruple mass spectroscopy (QMS), optical emission spectroscopy (OES) technique and microwave interferometer. Furthermore, absolute densities of H and N radicals were measured using the vacuum ultraviolet absorption spectroscopy (VUVAS) employing micro-plasma as light source. N and H radical densities were estimated on the order of 1011 - 1012 cm-3 and 1012 - 1013 cm-3, respectively. It was found that the behaviors of H and N radical densities were dependent on H and N atom ratio of feed gases, and were not related to the kind of gases in N2/H2, N2/NH3. The behavior of etch rate corresponded to that of H radical density. The correlation between the behavior of radical, ion and electron densities and the etching characteristics of organic low-k film is investigated. On the basis of these results, the mechanism for anisotropic etching and the surface reaction of radicals on organic low-k film are discussed.
9:20 AM PS1-WeM-4 Study of Surface Reaction on Organic Low-k Dielectric Etching By Plasma Beam Irradiation
Y. Yamaoka, K. Kurihara, K. Karahashi, M. Sekine, M. Nakamura (ASET, Japan)
Etching of low-k dielectrics is one of the most critical processes for the next ULSI fabrication. Etching mechanism of a poly arylene ether (PAE), which is one of the organic low-k dielectrics, was investigated by using a plasma beam irradiation apparatus. The apparatus can control ion flux density (Γi), neutral flux density (Γn) and ion energy (Ei), independently. The controlled plasma beam was irradiated to the PAE coated on Si substrate in an irradiation chamber, and etch rates (ERs) and desorbed products were measured. The beam was extracted from an ECR type plasma chamber with a N2 gas. The Γi was controlled by changing the ion beam diameter using an electrostatic focusing lens. The Γn was changed by altering the distance between the plasma chamber and the substrate. The Ei was adjusted by DC bias applied to the plasma chamber. Pressures in the plasma and the irradiation chamber were 4 mTorr and 1 x 10-6 Torr during the beam irradiation, respectively. The dominant species in the incident beam were N2 and N2+. The ER measurements were performed at an incident angle of 0° to the substrate surface normal (θ = 0°). The ERs increased with increasing Γn at the constant Γi and Ei. The increase of ERs could be attributed to that of the Γn. When Γi and Γn were kept constant, higher ERs were obtained at higher Ei in the range from 500 to 700 eV. Desorbed species of m/e < 100 during the beam irradiation with θ = 50° were measured by a quadruple mass spectrometer. Signals of m/e = 26 (CN), 27 (HCN) and 52 (C2N2) were observed as etched products directly desorbed from the substrate surface. Effects of H2 gas addition on the desorbed products formation and the ERs will be discussed.

This work was supported by NEDO.

9:40 AM PS1-WeM-5 Low K Porous Silica Etch Behavior in Inductively Coupled Discharges
M. Barela, H.M. Anderson (University of New Mexico)
Low k dielectric films are of interest to the semiconductor industry as a part of the overall solution to minimize RC time delays in the ever-shrinking critical dimensions in modern semiconductor products. We have examined the etching characteristics of several representative porous silica surfaces in an industrially relevant high-density, low-pressure fluorocarbon plasma environment. These characteristics include etch rate, selectivity to Si and photoresist, etch isotropy, and etch stop. We determined that the bias power strongly influences etch rate and selectivity. It was found that the surface-plasma interaction has a strong effect on the growth of the thin fluorocarbon film which is known to mechanistically to control the etch process under optimal conditions of high etch rate and good selectivity to other materials. Furthermore, the porous nature, and modified chemical structure of the silica film has unique interactions with the etching plasma. We determined that porous silica films, where hydroxyl groups terminate surface sites, require higher bias in order to etch in comparison to similar porous silica films, which have been fluorinated. The hydroxylated surface scavenges fluorine creating a carbon rich polymer film which leads to premature etch stop and increased aspect ratio dependence etching (ARDE). FTIR and IRLAS were used to illuminate key differences in the modified surface and gas phase species in the plasma, as compared to conventional dense silica.
10:20 AM PS1-WeM-7 Etching Mechanism in High-aspect-ratio Contact Hole Etching
N. Negishi, M. Izawa, K. Yokogawa, Y. Momonoi, H. Kawahara, S. Tachi (Hitachi Ltd., Japan); J. Ghormley (Hitachi America Ltd.)
As it advances beyond the 0.1-µm design rule, ULSI fabrication will require highly selective contact hole etching with high aspect ratio of over 15 and a bowing-free etched shape. To meet these requirements, the etching mechanism in high-aspect-ratio contact hole was investigated in terms of the transport of radical in a hole by using ultra-high-frequency ECR (UHF-ECR) plasma etching system1 with an Ar/C 5F8/O2 gas mixture. In this investigation, we assumed the dissociation species in this plasma are CF2, C, F, and O. Under this assumption, almost no protective film is formed on the middle part of the hole sidewall and bowing occurs around this point. To accumulate a protective sidewall film, we increased the amount of CFx radicals whose sticking-coefficient is low and reduced the sticking-coefficient of C radicals, so the bowing was reduced by 73%. Moreover, the distribution of C intensity was found to have a peak at a sidewall aspect ratio of around 4 in an etched hole by auger electron spectroscopy. This result can be explained by taking account of the transport of high-sticking-coefficient radicals such as C, deposition removal by O and F radicals, and radical reflection at the sidewall in a hole. Thus, we consider that the unexpected etch-stop occurs at an aspect ratio of around 4 when oxygen flow rate or ion energy is a little low. However we found that a contact hole can be formed without etch-stop and mask selectivity can be improved by step etching, during which oxygen flow rate was reduced at an aspect ratio of over 4. Accordingly, a 0.09-µm-diameter contact hole with an aspect ratio of 22 and a resist mask selectivity of over 13 was formed directionally.


1 K. Yokogawa, N. Negishi, S. Yamamoto, K. Suzuki, and S. Tachi, 1997 Dry Process Symp., pp 379-383.

10:40 AM PS1-WeM-8 Optimization of a Nitride Etch Process Using Optical Detection of NO
F.G. Celii, C. Huffman (Texas Instruments, Inc.); J. Hosch (Verity Instruments)
With many copper backend integration schemes, silicon nitride (SiN) etch stop layers are etched over copper. Because of misalignment, the SiN etch may also occur over oxide, where high selectivity to oxide would be desired. Typical plasma conditions use fluorocarbons with O2 or Ar at low plasma power, which avoids Cu sputtering; however, the selectivity to oxide is typically low (~2:1). Etch conditions in a remote plasma reactor have been reported which give higher nitride:oxide selectivity based on the role of NO to enhance etching of SiN.1 We report the use of optical emission spectroscopy to optimize NO concentration in N2/O2/Ar plasmas, with or without a fluorocarbon source, for use in SiN etching. Signature spectra of excited molecular (N2*, NO*) and atomic (O*, Ar*) species were identified and tracked over various plasma conditions in a commercial etch reactor. Small corrections were made using the Ar* intensities. While the relative concentrations of N2* and O* species are linear with N2 and O2 flow, the NO concentration shows a maximum which occurs at a flow ratio of ~90/10 N2/O2. Survey etch experiments were run with blanket oxide and nitride wafers, using conditions of maximum NO concentration. Variation of the other process conditions showed that decreased bias power, along with increased NO and F conditions, lead to increased nitride/oxide selectivity, including values higher than the baseline process. The extension of this work to include profile and etch rate results from patterned nitride and nitride/oxide wafers will also be reported.


1 B. E. E. Kastenmeier, P. J. Matsuo and G. S. Oehrlein, J. Vac. Sci. Technol., A17 (1999) 3179.

11:00 AM PS1-WeM-9 Ultra High Selective Silicon Nitride Etching with a Downstream Remote Plasma using CF4/O2/CH2F2
S. Halle, K. Wilson, K. Settlemyer (IBM Microelectronics); H. Kimura (Shibaura Technology International Corp.)
A silicon nitride etch process with ultra high selectivity to silicon oxide has been developed with CF4/O2/CH2F2/X , where X = Ar, N2, or no buffer gas, using remote plasma chemical downstream etching. The application of an ion damage free, isotropic removal of a silicon nitride film over thin oxide has been limited to date due to the lack of a ultra high selective dry strip process with a high etch rate and good cross wafer uniformity. In contrast to previously reported work, the CF4/O2/CH2F2/X process achieves an oxide selectivity > 70:1, while maintaining a high silicon nitride etch rate >200 nm/min and a uniformity ~ 3% 1σ. The addition of CH2F2 to the well characterized microwave discharge of CF4/O2 and CF4/O2/CH2F2 is found to increase the silicon nitride etch by a factor of 4 and 2, while maintaining an oxide etch rate of approximately 60-70 and 30-40 Å/min, respectively. Although a small amount of N2 addition to a CF4/O2 plasma has been shown to significantly enhance the silicon nitride etch rate, only in the regime of N2/(N2+O2) > 0.4 does the etch rate linearly increase with N2 addition. A film deposition, post etching, on the wafer surface is found to be water soluble. In addition, the silicon nitride etch rate decreases by a factor of 5 as the wafer temperatures increases from 50 to 70C. Experimental observations are consistent with a reactive surface layer mechanism, whereby an enhanced silicon nitride etch rate is achieved as the layer is thinned in the presence of nitric oxide (NO).
Time Period WeM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2001 Schedule