AVS1997 Session PS2-TuA: Pulsed Plasma Material Processing

Tuesday, October 21, 1997 2:00 PM in Room A7/8

Tuesday Afternoon

Time Period TuA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS1997 Schedule

Start Invited? Item
2:00 PM PS2-TuA-1 Plasma Source Ion Implantation -- A Confluence of Materials Science and Plasma Science
R.J. Matyi, J.R. Conrad, K. Sridharan, S. Malik, J.H. Booske, S.S. Gearhart (University of Wisconsin, Madison); S.B. Felch, B.S. Lee (Varian Associates)
Plasma source ion implantation (PSII) represents an attractive alternative to conventional beamline implantation for a variety of applications. PSII differs from beamline implantation in a num ber of important respects, and in this talk we will discuss the advantages that arise from these differences. First, in PSII the workpiece is immersed in plasma containing the implant species; it is repeatedly pulsed to a potential of up to several thousand volts, and the ions are accelerated across the ion sheath and into the surface. Since this process naturally occurs at relatively low acce lerating potentials, shallow implant profiles can be easily obtained at low energies. Second, PSII can achieve high dose rates (approaching amperes cm-2) during the time the voltage pulse is applied. These high dose rates can be attained without concern for the ion optics problems associated with low energy beamline implantation. Third, by combining two or more gases into the plasma f rom which implantation occurs, it is possible to co-implant multiple molecular species simultaneously. Finally, in PSII it is possible to combine implantation with sputter deposition into a single p rocess called ion beam assisted deposition (IBAD). In this talk we will illustrate the utility of PSII for the modification of a wide array of materials, including shallow junction (60 nm or less) d oping of silicon by low energy (-1 to -5 kV) BF3 plasmas, aluminum implantation from a nitrogen plasma for increased wear resistance, the implantation of electrically insulating materials, and the use of IBAD to deposit coatings such as (Al,Ti)N and Cr2O3 using Ar/N2 and Ar/O2 plasmas, respectively. The use of plasma diagnostics (such as optical emission spectrosco py to monitor the generation of chromium species during Ar/O2 IBAD from a Cr-target) has been very useful in process development. We will also discuss the construction of a novel PSII implante r utilizing an inductively coupled plasma source. This work was supported in part by the Army Research Office (grant DAAH04-94-G-0283) and by SEMATECH.
2:40 PM PS2-TuA-3 FTIR Study of Pulsed versus Continuous Wave Plasma Deposition of Amorphous, Hydrogenated Silicon Carbide (a-Si1-xCx:H) from Silane/Methane Mixtures.
J.M. Truitt, P.R. McCurdy, E.R. Fisher (Colorado State University)
Hydrogenated amorphous silicon carbide (a-Si1-xCx:H) is an important material because of its current use as a wideband window coating for amorphous Si solar cells and its potential applications in photoelectronics and as a hard coating. Equivalently powered, pulsed and continuous wave (cw) radio-frequency discharges (13.56 MHZ) were used to deposit Si1-xCx:H films from silane and methane. Deposited films were studied using FTIR. Deposition parameters investigated included pulsed plasma power (varying the duty cycle), substrate temperature, and addition of a carrier gas (H2, Ar, or He). Films deposited with cw plasmas at room temperature oxidize much more rapidly in air than their pulsed or heated counterparts. Also, in pulsed CH4/SiH4/Ar plasmas deposited films, the Si-C stretch normally found in the 700-800cm-1 range was shifted to 837cm-1. We believe this is due to an increasing number of dangling bonds in the film caused by Ar+ bombarding the depositing film. Results from other diluent gases will also be discussed.
3:00 PM PS2-TuA-4 Pulsed-Power Discharges for Materials Processing
M.A. Lieberman (University of California, Berkeley); R.W. Boswell, C. Charles, A. Durandet, S. Hatch, H. Smith (The Australian National University)
Pulsed-power discharges are finding wide application for materials processing. Effects seen include: increased etch selectivities, reduced aspect-ratio-dependent etch effects, etch profile control, reduced charge build-up damage, increased etch and deposition rates, reduced heat fluxes to substrates, particulate control, and control of film stress and morphology. The history and operating principles of these discharges are reviewed. The charged and neutral particle dynamics are found from the particle and energy balance relations, and the flux of negative ions is determined. Just after the power is turned on, there can be a sharp rise in electron temperature Te, followed by a decay of Te and an increase in the electron density ne to steady state values during the pulse on-time. The high plasma potential arising from the high Te at pulse initiation can be an important processing consideration. Just after the pulse is turned off, Te decays rapidly and ne decays slowly. The time-average ne can be considerably higher than that for cw discharges for the same time-average power. A pulsed discharge can have the same neutral radical flux to the walls for a reduced average power. For long pulse off-times, breakdown phenomena such as avalanching and multipacting can be important. These results can provide considerable insight into the effects seen in materials processing. Applications to polysilicon and oxide etching and to oxide deposition will be described, including experimental results and particle-in-cell simulations.
3:40 PM PS2-TuA-6 Reduction of Charging Damage in Pulsed Plasma Etching: Negative Ions vs. Electrons
G.S. Hwang, J. Kenney, K.P. Giapis (California Institute of Technology)
Pulsed plasmas have been proposed as a possible solution to plasma-induced charging damage occurring during etching in high-density CW plasmas. Indeed, reduced notching and gate oxide degradation have been reported for etching in pulsed plasmas1 as a result of increased negative charge flow during the "off" time, capable of neutralizing large positive potentials at the bottom of trenches. While such reports have peaked interest in pulsed plasmas, there is considerable controversy regarding the nature of the beneficial negative charge: Is it the low temperature electrons2 or the negative ions3 that help? In an attempt to answer the latter question, we performed extensive Monte Carlo simulations of the charging and etching processes in dense high aspect ratio patterns, occurring during pulsed plasma etching. The Ashida-Lieberman model4 of time-modulated high-density Chlorine plasmas is used to provide input (Cl+, Cl-, and e- relative densities) into a sheath model with an applied rf bias. The energy and angular distributions of ions and electrons at the sheath exit are obtained for the "on" and "off" time of the plasma and are used to simulate microstructure charging. After many cycles, the surface charging potentials reach an oscillatory steady state, where the potentials increase during the "on" time and decrease during the "off" time. The trench bottom potential distributions never reach the magnitude and shape of those obtained during CW operation, aided by a supply of electrons and negative ions during the "off" time. Tunneling currents through thin gate oxides are also monitored during both the main etch and the overetch. Their magnitude is considerably reduced as compared to the CW case. The negative ion and electron currents are calculated during the various etch steps and their relative contribution to charging potential reduction was found to depend on the duty cycle in a complex way to be elucidated upon in the talk. The simulation results offer new insight into the beneficial effect of pulsed plasma processing and suggest ways for further improvements.


1S. Samukawa , Appl. Phys. Lett., Vol. 64, 3398 (1994).
2S. Samukawa and T. Mieno, Plasma Sources Sci. Technol, Vol. 5, 132 (1996).
3T. H. Ahn, K. Nakamura, and H. Sugai, Plasma Sources Sci. Technol, Vol. 5, 139 (1996).
4S. Ashida and M. A. Lieberman, Jap. J. Appl. Phys., vol. 36, 854 (1997).

4:00 PM PS2-TuA-7 Characterization of Pulse-modulated Inductively Coupled Plasmas in Argon and Chlorine
G.A. Hebner, C.B. Fleddermann (Sandia National Laboratories)
The characteristics of pulse-modulated inductively coupled plasmas in argon and chlorine have been experimentally investigated. Measurements were performed for peak rf powers between 150 and 400 W at 13.56 MHz, duty cycles between 10 and 70 %, and pulse repetition frequencies between 3 and 20 kHz. Over this parameter space, measurements were performed of the time dependent forward and reflected rf powers into the matching network, coil voltage, rf variation of the plasma potential, electron density, and Cl - density. These measurements indicated that for the first 5 - 30 rf cycles of each pulse, the discharges probably were operating in a capacitivly coupled discharge mode with rf variations in the plasma potential of several hundreds of volts and relatively low electron density. Measurements of the electron density in pulse-modulated chlorine discharges indicated that the plateau electron density was a function of the duty cycle; the plateau electron density was lower for higher duty cycles. This may indicate that the ratio of Cl to Cl2 was changing with duty cycle. In addition, a microwave radiometer was used to provide an indication of the time dependent electron temperature. Large spikes in the microwave radiation temperature were noted at the turn-on of the rf power pulses and, in some cases, at the transition from a capacitivly coupled to an inductively coupled plasma. This work was supported by the United States Department of Energy (DE-AC04-94AL85000). Sandia is a multiprogram laboratory operated by Sandia Corporation, a Lockheed Martin Company, for the United States Department of Energy.
4:20 PM PS2-TuA-8 Time-Resolved Investigations of Pulsed Microwave Excited Plasma
St. Behle, A. Georg, S. Lagrou, Y. Yuan, J. Engemann, A. Brockhaus (Universität GH Wuppertal, Germany)
Pulsed microwave excited plasma in argon were investigated. The plasma is generated within a 2.45 GHz SLAN type plasma source1. Electron density and temperature was analyzed with the use of a heterodyne 35 GHz microwave interferometer (MWI) and Langmuir single probes. The time-resolved electron density is measured by non-invasive MWI for different pulse frequencies and duty cycles. The results are compared with time-resolved Langmuir probe measurements. Furthermore, we derived the time-resolved effective electron temperature Te(t) from Langmuir probe data. With decreasing duty cycle (plasma on time) from 90% down to 25%, the time-averaged electron density increases by one order of magnitude as compared to cw-plasma density. Maximum density is obtained for a duty cycle of 25% and a pulse frequency of about 100 Hz. The Te(t) measurements show a short term overshoot up to 10 eV at the beginning of the plasma pulse. During the rest of the plasma on time, Te(t) decays to a steady state value of 1-2eV which is comparable to the values of a cw-plasma. Additionally, we investigated the optical emission of excited argon at 795nm and argon ions at 442 nm in order to provide more information about the global behavior of pulsed plasma. The time-resolved line intensity images, which are acquired by a gateable CCD-camera system in combination with interference filters, show that the plasma ignition occurs in the vicinity of the plasma chamber wall. After a short intensity burst the plasma expands into the plasma chamber forming a homogeneous discharge-area. The results of this work prove a significant change of plasma properties in a pulsed mode as compared to cw-plasma.


1D. Korzec, F. Werner, R. Winter, and J. Engemann, Plasma Sources Sci. Technol. 5, 216 (1996).

4:40 PM PS2-TuA-9 Solving "Topographic Dependent Charging" by a Pulsed Plasma
M. Shibata (Fujitsu Ltd., Japan); J. Matsui, N. Nakano, T. Makabe (Keio University, Japan); M. Nakamura (Fujitsu Ltd., Japan)
The electron acceleration toward the wafer surface is one of the key to the cancellation of the topographic dependent charging. Such a system proved practicable, by the investigation of a pulsed plasma with the relaxation continuum (RCT) model. The usual positive ion sheath allows the electron incident only by the thermal diffusion, while positive ions are accelerated toward the surface. As a result, the potential differences inside the patterns are formed, and induce "topographic dependent charging" problems, i.e., the profile distortion, the reduction of the etch rate, and the device degradation. Many measures to avoid these problems have been taken and reported. Some of them are the attempts to obtain an anisotropic velocity distribution of electron and to draw electrons into the bottom of patterns. In this work, the pulsed plasmas was numerically analyzed by the RCT model, and the effect of electron acceleration toward the surface by the positive bias voltage are investigated.1 The SF6 discharge is sustained between two parallel plate electrodes with spacing of 2 cm by the pulsed rf (13.56 MHz) voltage applied on a counter electrode. About 200 ns after the rf voltage is turned off, the potential that accelerates electrons toward the wafer surface is formed near the surface when a positive voltage is applied on the wafer electrode. This phenomenon is also seen in a realistic condition, in a dual frequency (27 MHz and 800 kHz) reactive ion etcher; electrons are accelerated and the positive charge at the bottom of patterns can be suppressed in the interval between rf voltage pulses.


1J. Matsui, M. Shibata, N. Nakano, and T. Makabe, submitted to J. Vac. Sci. Technol. A.

5:00 PM PS2-TuA-10 Suppression of Notching by Lowering the RF Bias Frequency in ECR Plasma Source with Divergent Magnetic Field
H. Morioka, D. Matsunaga, H. Yagi (Fujitsu Ltd., Japan)
"Notching", which is a kind of local side etching caused by charging of pattern structure, is a serious problem to achieve tight CD control. Although the sidewall protection with increasing polymer deposition can reduce the notching, it tends to enhance the so called proximity effect, which causes the etched profiles variation depending on the pattern spacing. Therefore, the notching has to be suppressed without extra sidewall protection. We have studied effects of RF bias frequency for both cw and pulsed ECR plasma source to solve this problem, and found out that lowering the bias frequency can reduce the notching by itself. Our experiments were performed on an ECR plasma etch tool with divergent magnetic field. Cl2 base chemistry was used. Two bias frequencies, 13.56MHz and 400KHz were used to examine their effect. The effect of the pressure on the notching were also studied in detail changing the pressure from 0.5mT to 5mT. Notch depth was measured with controlling the bias power to maintain the selectivity to photo-resist to be constant, since it is well-known that the selectivity to the resist has an strong influence on the sidewall protection. We found that the notch depth decreased markedly by lowering the bias frequency from 13.56MHz to 400kHz in most of the conditions. In cw plasma, however, this improvement becomes minimal when the pressure was decreased. On the other hand, with pulsed plasma source, of which pulse condition were 100µs cycle and 25% - 50% on-duty, we have succeeded in suppressing "notching" even in the case of 1mT or lower. Consequently, we have achieved vertical etched profile with minimum proximity effect.
Time Period TuA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS1997 Schedule