AVS1997 Session PS-MoA: Plasma Damage and Feature Evolution

Monday, October 20, 1997 2:00 PM in Room A7/8

Monday Afternoon

Time Period MoA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS1997 Schedule

Start Invited? Item
2:00 PM PS-MoA-1 Evaluation of Plasma Charging Damage During Polysilicon Gate Etching Process in a Decoupled Plasma Source (DPS) Reactor
S. Ma (Hewlett Packard Company); M. Jain, J. Chinn (Applied Materials)
Charging induced damage of thin gate oxide during polysilicon gate etch step in a high density Decoupled Plasma Source (DPS) was investigated for 0.16 and 0.24 µm gates on 30Å gate oxide. The un-doped polysilicon gates were etched with a HBr/HeO2 based process recipe. The optimized process recipe resulted in 89-90 degree vertical profiles with microloading of < 1 degree. No notching of the polysilicon or punch- through of the thin gate oxide was observed. Gate leakage and breakdown voltage measurements after gate formation were made on 30Å gate oxide MOS capacitor structures with antenna ratios ranging from 100:1 to 1000:1. These measurements did not exhibited any damage to the thin gate oxide. In addition, no significant change in the damage characteristics were observed over a wide process window around the optimized recipe, i.e., (1) increased over etch (OE) time, (2) increased source power or (3) reduced bias power in the OE step. A process recipe that takes advantage of a landing scheme to obtain vertical profiles without punch through of the thin gate oxide and to minimize damage to the gate oxide and substrate will be described. The detailed mechanisms for profile control and damage reduction will be discussed
2:20 PM PS-MoA-2 Efficient Two-Dimensional Simulation of Electronegative RF-Discharges in the Low Pressure Regime
R.P. Brinkmann, K. Loibl, M. Kratzer (Siemens AG, Germany)
A mathematical model is presented which allows for the efficient two-dimensional simulation of capacitively and inductively coupled RF discharges at pressures below 100 mTorr. The model is valid for electropositive and electronegative discharges alike, and can thus be used to investigate the majority of the plasma processes used in VLSI manufacturing. In principle, our model is a hybrid approach which self-consistently couples a fluid description of the ions to a Monte-Carlo simulation of the electrons. It is, however, considerably more efficient that conventional models of that type. The fluid part consist of a set coupled partial differential equations which describe the transport of the positive and negative ions in a period-averaged electrical field; this "bulk model" assumes quasi-neutrality and Boltzmann-distributed electrons. It is supplemented by boundary conditions which represent the electron-depleted plasma sheath. The superimposed RF modulation is described in terms of a complex RF potential. The Monte-Carlo part of the code calculates the electron motion under the influence of the electrical fields determined form the fluid model, and collisions with the neutral background gas. The rates of ionization, excitation and attachment can thus be calculated without relying on a Maxwellian, they are self-consistently communicated to the fluid part. Among other quantities, our codes spatially resolves the energy distribution of the ions incident onto the wafer surface, and the charging of insulating surfaces exposed to the plasma. It is thus suited, e.g, to investigate the effects of plasma-induced damage due to charge carrier injection into oxides.
2:40 PM PS-MoA-3 Feature Evolution Simulations of Silicon Trench Etching with Comparison to Experiment
M.A. Vyvoda (University of California, Berkeley); F.P. Klemens (Bell Laboratories, Lucent Technologies); D.B. Graves (University of California, Berkeley); J.T.C. Lee, H. Lee, M. Cerullo, V.M. Donnelly, M.V. Malyshev, A. Kornblit (Bell Laboratories, Lucent Technologies)
Knowledge of how the processing environment within a plasma reactor affects the shape of an evolving microfeature can be useful for both the development of improved circuit processing techniques as well as reactor design. To date, however, few studies have compared feature shapes obtained under well characterized plasma conditions to those predicted by a simulation that takes into account the complex coupling between the reactor and feature scales. We have developed a simulation that couples a reactor-scale model of plasma chemistry, a molecular dynamics model of energetic particle-surface interactions and a monte-carlo model of ion transport across sheaths to a feature profile evolution simulation. This methodology allows us to determine the effects of process conditions and reactor geometry on the shape of an evolving microfeature. We compare results of this simulation methodology to experimental results of silicon trench etching in a commercial high density inductively coupled plasma reactor, in which trenches from 0.25 micron to 0.60 micron in width were etched at reactor pressures of 2 and 10 mTorr, plasma powers of 250 and 500 Watts, and bias powers of 150 and 250 Watts. The reactor feed was pure chlorine. The experimental results show virtually no aspect-ratio dependence of the etch rate at 10 mTorr for all except the highest-power case, whereas at 2 mTorr a moderate aspect-ratio dependence is seen for all powers. This is explained in the simulation by the use a Langmuir- Hinshelwood-type model of the ion-enhanced etch rate. Additionally, the experimental profiles show severe microtrenches located near trench sidewalls, with the microtrench shape depending in a systematic way on plasma conditions. This is explained in the simulation by the coupled effects of neutral transport within the trench and ion scattering from sidewalls. Of key importance in this study is the impact of plasma conditions on both the resulting etch rate and shape of the evolving feature.
3:00 PM PS-MoA-4 Profile Modeling and Comparison to Experiments for Microtrenching in Cl2 Etching of Si in an Inductively Coupled Plasma Reactor1
R.J. Hoekstra (University of Illinois, Urbana); V. Sukharev, P. Shoenborn (LSI Logic Corporation); M.J. Kushner (University of Illinois, Urbana)
In high plasma density etching tools, the ratio of ion flux to radical flux is typicaly larger than in conventional RIE reactors, and the gas is more highly dissociated. As a result, ion driven processes such as microtrenching may be more common. To investigate the surface reaction mechanisms leading to microtrenching, a computational and experimental study has been performed of Cl2 etching of Si in an inductively coupled plasma (ICP) tool. The computations were performed using the Monte Carlo-Feature Profile Model (MC-FPM) linked to the Hybrid Plasma Equipment Model (HPEM).2 The MC-FPM accepts the energy and angle resolved reactant fluxes produced by the HPEM and is capable of employing a user specified surface reaction mechanism. The experiments consisted of a parametric design-of-experiments in a LAM 9400SE ICP at 10 mTorr while varying power deposition (200-600 W), rf bias (0-100 W), and flow rate (20-100 sccm). A SiO2 hard mask was employed. Comparisons of results from the MC-FPM to experiment will be used to discuss the influence of ion energy and angular dependent reaction rates, ion reflection, passivation, and surface diffusion on the formation of microtrenches. Results from a 3-dimensional version of the MC-FPM will be used to demonstrate to what degree microtrenching can be problematic in trenches (or vias) of finite length. 1Work supported by SRC, NSF, and U of Wisconsin Engineering Research Center for Plasma Aided Manufacturing 2R. Hoekstra, JVST A, July-August, 1997
3:20 PM PS-MoA-5 Profile Evolution Simulation of High Density Plasma Etching of Patterned Polysilicon
A.P. Mahorowala, J.P. Chang, H.H. Sawin (Massachusetts Institute of Technology)
We have developed a Direct Simulation Monte Carlo (DSMC) simulator to predict the profile evolution during the Cl etching of patterned polysilicon in a high density plasma etcher. The DSMC algorithm permitted us to incorporate easily all the dominant physical and chemical mechanisms of the etching process without encountering numerical difficulties during surface advancement. The surface interactions incorporated include angle-dependent ion-enhanced etching and physical sputtering (based on beam kinetics models developed in our lab), ion reflection, surface recombination, deposition of source species, and redeposition of etching by-products, all of which were solved simultaneously. The simulation domain which spanned the centerlines of neighboring mask lines defining the trench to be etched, was discretized into square cells with 50Å sides, a dimension comparable to the surface chlorination layer depth caused by ion induced etching processes. An elemental balance was performed in a surface cell each time the surface interacted with a reactant species particle. Whenever a surface cell was etched/deposited upon, the surface was redefined. We have simulated commonly observed plasma etching peculiarities such as bowing of sidewalls, faceting of photoresist lines, undercutting, grass formation and microtrenching by emphasizing a few of the mechanisms mentioned earlier. For example, grass formation was simulated by allowing the deposition/ redeposition of carbon, which masks subsequent silicon etching. The model predictions compared favorably to patterned polysilicon etching profiles obtained in high density plasma etchers. A catalog of simulated profiles has been created which has enabled us to better understand the role of factors such as sidewall passivation, neutral shadowing, and ion shadowing on the profile evolution during high density plasma etching of polysilicon.
3:40 PM PS-MoA-6 A 2-D Kinetic Plasma Sheath-Feature Topography Model
M.L. Hudson, T.J. Bartel, M.E. Riley (Sandia National Laboratories)
A continuing goal of the microelectronics industry is decreasing the size of the features on the wafer for maximum density usage. This ongoing scale-down, from 0.25 microns to 0.1 microns in the year 2006, requires a fundamental understanding of the surface evolution on an atomistic scale. A first-principles model and data will provide this fundamental understanding. We will present a model of the rarefied flow near the wafer surface including the sheath and multiple features. The model will be based on our Direct Simulation Monte Carlo (DSMC) code. This is a kinetic technique which models electrons, ions and neutrals as computational particles; collisional processes between the particles as well as the surfaces will be computed using the DSMC algorithm. We include not only the geometry of several features and the gas and surface chemistries for both neutral and ion species, but also include the sheath transport, surface charging due to ionic neutralization, and surface evolution. The electric field is computed based on local charge densities and surface charging effects. The method will be able to resolve the bias frequency. We will also be able to compute loading/shielding effects between features since we will not only include multiple features, but will also compute any neutral/etchant transport between features. Charging of the etchant by electron impact or charge exchange can also be included. The surface evolution strategy is based on an interface tracking method which simply determines the location of the surface. There is no volume regridding as the surface moves which decouples the surface resolution from the overall geometry grid resolution. The near-surface computational flow domain will extend from the plasma-sheath interface to the surface. A large computational domain is allowed as the code is massively parallel. Results of the 2-D sheath-feature topography model will be compared with data and be used to understand the effects of process parameters on surface evolution and damage.
4:00 PM PS-MoA-7 Characterization and Optimization of a Dry Etching Process for Silicon Nitride Spacer Formation
L.B. Jonsson, C. Hedlund (Uppsala University, Sweden); T. Larsson (Ericsson Components AB, Sweden); I.V. Katardjiev, S. Berg, H.-O. Blom (Uppsala University, Sweden)
A recently developed method for measuring the angular dependence of the etch rate directly in a production process is used to optimize the etching of nitride spacers. The method is based on etching materials, deposited on specially patterned silicon wafers. The latter contain a variety of groove structures with a multitude of well defined surface orientations. The structures are formed by using highly anisotropic wet chemical etching of silicon. Since the walls of the structures comprise specific crystallographic orientations the process is extremely reproducible. The surface of the etched structures has been analyzed for contamination (specifically Potassium). It has been found that the level of contamination introduced during the fabrication of the structures is well below the limits for processing in a sub-µ fab. By etching wafers with structures covered by silicon nitride it is possible to extract information about the process and identify its components. By using response surface methodology it has been possible to characterize and optimize the process. The results have been used as an input to the topography simulation program DINESE. A number of simulation examples and comparison with experiment are presented.
4:20 PM PS-MoA-8 An Investigation of Aspect Ratio Effects in Deep Sub-Micron Metal Etching
S. Ma, R. Kavari, N. Farrar, C. Chi, G.W. Ray (Hewlett Packard Company)
Etching of aluminum based conductors for the 0.18µm generation and beyond will be especially challenging for a number of reasons. Among them is the difficulty of maintaining profile control in very high aspect ratio sub-0.2µm spaces. The thickness of the photoresist and the aluminum, as well as the selectivity to photoresist are expected to be important factors. Profile control when etching 0.1µm spaces into TiN/Al-0.5%Cu/TiN/Ti metal stacks was studied in an inductively coupled plasma (ICP) etch system using Cl2/BCl3/N2 chemistry. Tri-layer resist was used as the masking layer to facilitate variations in photoresist aspect ratio while simultaneously allowing independent optimization of the imaging layer. Photoresist thickness was varied from 0.55µm to 1.3µm. Metal stack thickness was varied from 0.5µm to 1.0µm. Undercut at the TiN/Al-0.5%Cu interfaces and the TiN/SiO2 interface was observed to depend upon both the photoresist thickness and the metal stack thickness. Dependence on source power, substrate bias power, and Cl2/BCl3 ratio was also observed. These phenomena can be explained a dependence upon the relative magnitudes of the polymer deposition rate, ion energy distribution, and ion flux.
4:40 PM PS-MoA-9 Etch Profile Simulation Using Level Set Methods
H.H. Hwang (Thermosciences Institute); M. Meyyappan (NASA Ames Research Center)
Etching and deposition of materials are critical steps in semiconductor processing for device manufacturing. Both etching and deposition may have isotropic and anistropic components, due to directional sputtering and redeposition of materials, for example. Previous attempts at modeling profile evolution have used so-called "string theory" to simulate the moving solid-gas interface between the semiconductor and the plasma. One complication of this method is that extensive de-looping schemes are required at the profile corners. We will present a 2D profile evolution simulation using level set theory to model the surface.1 By embedding the location of the interface in a field variable, the need for delooping schemes is eliminated and profile corners are more accurately modeled. This level set profile evolution model will calculate both isotropic and anisotropic etch and deposition rates of a substrate in low pressure (10s mTorr) plasmas, considering the incident ion energy angular distribution functions and neutral fluxes. We will present etching profiles of Si substrates in Ar/Cl2 discharges for various incident ion energies and trench geometries. Work supported by NASA contract NAS2-14031 to Eloret.


1J. A. Sethian, "Level Set Methods," 1st ed. (Cambridge University Press, Cambridge, England, 1996).

5:00 PM PS-MoA-10 Cross-Transport of Multiple Species in the Transition to Molecular Flow Regimes
T.J. Bartel (Sandia National Laboratories); V. Singh (Lam Research Corp.)
In the current generation of semiconductor processing tools, a number of situations arise where two species of different masses both convect and diffuse in opposite directions in very small cross-section channels (5 to 100 microns) at low pressure (5 to 100 mTorr). This counter flow behavior in the transitional flow regime is important since one of the species may deposit; this is not desired on surfaces other than the wafer. This deposition is of special concern around the wafer where it can lead to discrepancies in back-side cooling and clamping behavior. Counterflow situations have been extensively studied in the continuum flow regimes; however, they have limited relevance to the transitional/molecular flow regimes. Also, multiple species dif- fusion has been studied for gas mixtures flowing/diffusing in a single direction. The present work will characterize the behavior of systems which have both a pressure gradient and different molecular weight species which are separated by a small channel. We will use the Direct Simulation Monte Carlo Tech- nique to model this sytem. The test case is a light molecule (helium) at a high pressure (~100 mtorr) and a heavy molecule (argon) at a low pressure (5 mtorr) which are connected by a chan- nel. The channel dimensions are varied to span the molecular-transitional-continuum flow regimes. Results have shown that the diffusion of the argon into the helium is very limited in the transitional flow regime; these results have been corroborated with systems data.
Time Period MoA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS1997 Schedule