AVS1997 Monday Afternoon

Sessions | Time Periods | Topics | Schedule Overview

Hover over a paper or session to view details. Click a Session in the first column to view session papers.
Session Monday, October 20, 1997
2:00 PM 3:00 PM 4:00 PM
AS-MoA
Photoelectron Microspectroscopy Observations of a Cleavage Surface of Semiconductor Double Heterostructure
Study of the Oxidation of W(110) with Chemical State- and Time- Resolved Photoelectron Spectroscopy and Diffraction**
Atomic XAFS; A New Tool in X-ray Absorption Spectroscopy
Direct Observation of the Complete Rehybridization of the Carbon Carbon Double Bond in Chemisorbed Propylene on Supported Silver Materials Using NEXAFS
Orientation and Electronic Structure of Ion-Exchanged Dye Molecules on Mica: An X-Ray Absorption Study
K-Edge Soft X-ray Absorption (NEXAFS) Spectroscopy In Surface Chemical Analysis of Polymers
Tribochemical and Thermochemical Reactions of Stearic Acid and Paraffin Oil on Metal Surfaces in Air as Measured by Ultra Soft X-ray Absorption Spectroscopy
Advances in Wafer Surface Trace Metal Analysis Using Synchrotron Radiation
EM-MoA
Doping of III-Nitrides
Characterization of Hexagonal GaN(0001) Thin Films by X-ray Photoelectron Diffraction
A Novel Precursor for Aluminum Nitride Thin Film Growth: Quinuclidine Alane
UHV Arcjet Nitrogen Source for Selected Energy Epitaxy of Group III Nitrides by MBE
Optimization of InN for Ohmic Contact Formation
Status of High-Brightness III-Nitride Light Emitting Diodes (LEDs).
Selective ICP Etching of Group-III Nitrides in Chlorine and Boron Trichloride Based Plasmas
Composition and Doping Effects on Plasma Etching of Group III-Nitride Photonic Device Materials
EM+
Investigation of Charge Spreading and Trapping in SiO2 Films by Ballistic Electron Emission Microscopy
Localized Degradation Studies of Ultrathin Gate Oxides.
Properties of Low-Temperature-Grown Ultrathin Oxide with Post-Oxidation Anneal
Oxynitridation of Si(100) Using a Remote Ar-N2O Plasma
Development of Ultra-thin Gate Dielectric with Nitrogen Incorporation into Oxide for 0.25 micron Technology
The Growth and Composition of Ultrathin Oxynitride Films on Si(100)
New Method for Low-Temperature Si Oxidation
Atomic Layer Controlled SiO2 Growth at Room Temperature Using Catalyzed Self-limiting Surface Chemistry
Electrical Properties of the Ultrathin (3nm) Silicon Oxide Film Grown by Low Density Oxygen Plasma at Room Temperature
MI-MoA
Spin-Resolved Resonant Photoemission: Probe of Electron Correlation Effects in Magnetic Solids
Spin-Orbit and Exchange Interactions in Photoelectron Diffraction
Magnetic X-ray Linear Dichroism in Gd 5p Core Level Photoemission
Spin Polarization of 3s Photoemission Satellites
Magnetic Dichroism and Spin Polarization in Core-Level Photoemission from Itinerant Ferromagnets
STM-Based Measurements of Magnetic Multilayers
Voltage Dependence of Magnetic Tunnel Junctions
Determination of Magnetic Layer Switching of a Multilayer
NS-MoA
Conductance and Stability of Atomic-Scale Gold Contacts under High Bias Voltages
Doping of Semiconducting Atomic Chains
Mechanical and Electronic Properties of Nanowires
Single Electron Charging Effect at Ag Droplets Grown on Sb-terminated Silicon Surface at Room Temperature
Spectroscopy and Imaging of Supported Gold Nanocrystals at Cryogenic Temperatures
Magnetic Nanostructures with 2D and 1D Entities
Imaging Current Flow in High Temperature Bi-Superconductors
Direct Measuring the Conductance Distributions of Conducting Paths in Percolating Nix(SiO2)1-x Thin Films by Conducting Atomic Force Microscopy
PS-MoA
Evaluation of Plasma Charging Damage During Polysilicon Gate Etching Process in a Decoupled Plasma Source (DPS) Reactor
Efficient Two-Dimensional Simulation of Electronegative RF-Discharges in the Low Pressure Regime
Feature Evolution Simulations of Silicon Trench Etching with Comparison to Experiment
Profile Modeling and Comparison to Experiments for Microtrenching in Cl2 Etching of Si in an Inductively Coupled Plasma Reactor1
Profile Evolution Simulation of High Density Plasma Etching of Patterned Polysilicon
A 2-D Kinetic Plasma Sheath-Feature Topography Model
Characterization and Optimization of a Dry Etching Process for Silicon Nitride Spacer Formation
An Investigation of Aspect Ratio Effects in Deep Sub-Micron Metal Etching
Etch Profile Simulation Using Level Set Methods
Cross-Transport of Multiple Species in the Transition to Molecular Flow Regimes
PS+
The Dynamics and Some Fundamental Research Problems Associated with Monochrome and Color Plasma Display Panels
Analysis of the Discharge Mechanism of He-Ne-Xe Gas Mixture in a Surface Type AC PDP
Discharge Characteristics of Various DC-PDP Cell Structures
Study of VUV Emission Spectra from AC Plasma Display Panel
The "Invariant" and "Invariable" Scaling of Planar Magnetron Sputtering from 200 mm Silicon to Meter Square LCTFT Display Applications
Advantages of Using A High Density Plasma Source for FPD Plasma Processing.
Electron Cyclotron Resonance Plasma Etching of Oxides and SrS and ZnS-based Electroluminescent Materials for Flat Panel Displays
Arc-Suppressed RF Magnetron Sputtering for ITO Film Preparation using Time Modulation Technique
QS-MoA
Final-State Effects in Quantitative XPS Analysis: the Ion and the Photoelectron**
Creating a Rulebase for Interpretation of XPS: A Guide for both Human-based and Computer-based Experts
Sharing of AES and XPS Spectral Data through Internet
Universal Description of Elastic Electron Scattering Effects in XPS/AES Peak Attenuation
Loss Functions of Various Materials Obtained from XPS Spectra
Calculation of Electron Inelastic Mean Free Paths in Germanium, Gadolinium, and Dysprosium
SS+
Direct Measurements of Atomic-Scale Surface Kinetics on Si(001)
Prenucleation Structures of Ge Adatoms on Si(100)-2x11
Growth Kinetic Influences on the Morphology and Photoluminescence of Three-Dimensional Islands Formed by Chemical Vapor Deposition in Si1-xGex/Si Multilayers on Si(001)1
Intrinsic Stress upon Stranski-Krastanov Growth of Ge on Si(001)
Improved Accuracy in Monitoring Si Monolayer Incorporation in GaAs during MBE
Observation of Nanometer Scale Surface Clustering on ZnSe Epilayers Grown by Molecular Beam Epitaxy on GaAs(001)
First Principles Study of AlN Epitaxial Layers on SiC
SS1-MoA
Nanoscopic Droplets Studied using Scanning Polarization Force Microscopy
Soft-Landed Ions to Recreate Aqueous Interfaces
Effects of Water on the Chemistry and Morphology of NaCl Surfaces: Implications for Atmospheric Chemistry of Sea Salt Particles.
Chemistry at the Surfaces of Model Tropospheric and Stratospheric Cloud Particles
H2O Adsorption and Desorption on a Well-Defined α-Al2O3(0001) Surface
An Investigation of Liquid Sulfuric Acid Films in Ultrahigh Vacuum.
Reaction of H2S on Naturally Occurring Pyrite: Effects of Short Range Order
ToF-SIMS Studies of Organic Reactivity on Single Particles
SS2-MoA
Effect of Sulfur on Oxide Adhesion on Fe(111)
The Interaction of Water with the (012) Surface of α-Fe2O3: Experiment and Theory
Growth and Surface Structure of FeO(001) Epitaxial Thin Films
Photoexcited Fe2O3 Surfaces: Properties and Chemisorption
Core-level X-ray Photoelectron Spectra and X-ray Photoelectron Diffraction of RuO2(110) Grown by Molecular Beam Epitaxy on TiO2(110)
Studies of the Defect Chemistry on (110) and (100) TiO2 Surfaces
Synthesis and Structure of Metal Clusters Supported on TiO2(110): A Scanning Tunneling Microscopy Study
Oxygen-Induced Reconstruction of a TiO2(110) Surface: A Scanning Tunneling Microscopy Study
Atomic Resolution UHV STM of Insulating Oxides: NiO and UO2
Characterization of Defective Oxide Surfaces by Surface-Sensitive and Titration Techniques
TF+
Chromaticity and Electroluminescent Efficiency of Atomic Layer Epitaxy SrS:Ce Thin Films
XPS Analysis and Semiempirical SCF/CI Modeling of Ce+3 Core Level Electrons in Cax√sub 1-xGa2S4
Deposition and Luminescence Characterizations of ZnGa2O4 Thin Films Prepared by rf magnetron sputtering
FED Display Manufacturing Challenges
Properties of Fluorine-Doped Tin Oxide Films Produced by Low-Pressure Chemical Vapor Deposition using Tetramethyltin.
Preparation of Transparent Conducting ZnO-In2O3-SnO2 System Multicomponent Oxide Films by R.F. Magnetron Sputtering
High Dielectric Constant BaSrTiO3 Thin Films for EL Displays
The Effect of the Base Layer on the Conductivity and Structure of dc Magnetron Sputtered Thin Silver Films.
VM-MoA
Vacuum Arc Broad Metal Ion Beams Techniques TAMEK for Material Surface Modification
Improvement of Tribological Properties Using Pulsed High Power Ion Beams
Pulsed Electron-Beam Technology for Surface Modification of Metallic Materials
Metal Vapor Source with High Intensity Flow for Thin Film Technology
Ion Beam Sputter Deposition and Characterization of Aluminum Oxide and Aluminum Nitride Thin Films for Gap Dielectrics and Spin Tunneling Devices
Femtosecond Laser Ablation Processes and Deposition of Thin Films
Structural and Electrical Properties of Biaxially Oriented Conductive La0.5√sub 0.5CoO3 Thin Films on SiO2/Si
Growth and Characterization of Epitaxial SrRuO3 Thin Films on SrTiO3 MgO and Al2O3 by Pulsed Laser Ablation.
VT+
Laser Diode Spectroscopic Study of HCl and Its Application for In Situ Monitoring of a Process Chamber
High Rate Cleaning of Surfaces using Atmospheric Pressure Generation of Reactive Metastable Species
Detection and Filtration of Large Particle Concentrations in CMP Slurries
Recent Experiences with In Situ Contamination Monitoring & Control
A Study of the Behavior of H2O at Low Concentrations
Contamination Measurement and Control in Vacuum Systems
Excimer Laser Cleaning of Wafer Backside Metallic Particles
Clustered Dry Cleaning of Residue from an ICP Oxide Etch
Sessions | Time Periods | Topics | Schedule Overview